vivado中时钟ip核的调用

时钟ip核(MMCM PPL),MMCM(混合模式时钟管理)和PPL(锁相环)是FPGA内部的时钟资源。

作用:对时钟网络进行一个系统级的时钟管理和偏斜控制,具有时钟倍频、分频、相位偏移等功能

一、7系列FPGA高层次时钟结构视图

   

Clock Region:区域时钟。

Clock Backbone:全局时钟线主干道。每个区域时钟既可以单独工作,又可以通过clock backbone全局时钟线主干道统一工作。

HROW:水平时钟线。从水平方向贯穿每个时钟区域的中心区域,从而将时钟区域分为上下一致的两部分。

CMT Backbone:时钟管理模块主干道。在进入每个时钟资源时都要经过HROW。

一个cmt由一个mmcu和pll组成。

I/O Colunm:外部的信号或者时钟的输入引脚。

GT Clounm:fpga内部高速串行触发器。

二、单个时钟域视图

 

BUFG:全局时钟缓冲器(到达任何时钟区域,可以通过HROW到达时钟区域内部的每个逻辑单元) 。

BUFH:水平时钟缓存器,只能通过HROW在左右相邻的时钟域进行工作。

BUFIO:I/O时钟缓存器,只能作用于I/O的时钟区域,不能在内部逻辑使用。

BUFR:区域时钟缓冲器。输出的时钟只能作用于本时钟区域。

BUFMR:多区域时钟缓冲器。输出时钟不仅可以在本时钟区域。

cc:指时钟的专用引脚。

MMCM和PLL是时钟管理模块可以消除延迟、消除抖动、产生各种频率的时钟。

三、vivado中ip核的调用

首先在ip cating中搜索clock,选择clocking wizard。

 

 

 最后生成综合,通过例化文件,例化到我们的模块中即可。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值