自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(4)
  • 收藏
  • 关注

原创 vivado hierarchical design

Bottom-up模块单独PR的时候很可能对顶层知之甚少,context constraint需要由用户自己写。这些约束指定了模块物理摆放位置,模块IO的布局信息,指定时钟源的位置,还有模块IO的时序路径要求等。Top-down顶层设计很明确,所以可以由顶层驱动OOC模块的PR,OOC模块context约束可以由vivado自动产生。模块必须要有Pblock约束Pblock需要设置CONTAIN_ROUTING属性不同OOC模块的Pblock不能重叠。

2024-04-18 19:41:15 742

原创 以太网- MII系列接口

MII/GMII/RGMII/SGMII

2024-04-07 19:02:19 752

原创 FPGA配置加载说明

FPGA配置加载说明遇到了一个FPGA高温试验加载失败的问题,降低了CCLK的频率,问题解决。顺带也学习了一下FPGA加载相关的知识。本文内容基本上就是把Ug470翻译了一下,等有时间再整理整理1 配置概述1.1 概述因为Xilinx FPGA的配置是易失性的,每次上电比特流都会通过某些特定的引脚读入FPGA。这些配置脚可用于下面几种不同的配置模式(7系列):• Master-Serial configuration mode• Slave-Serial configuration mode

2020-10-31 07:35:44 7791

原创 vivado [Memdata 28-207] 错误

vivado [Memdata 28-207] 错误最近遇到了一个错误:[Memdata 28-207] out of bounds code segment for BRAM space in xxx.bmm。在网上没有找到解决方法,所以记录下。这个问题是在xczu11上使用DDR4遇到的。问题的原因在于DDR4中嵌入了一个Microblaze,挂了两个BRAM,不知道什么原因,这两个BRAM的容量比实际需要的容量小,导致elf文件无法加载到这两个RAM里面,所以DDR4的cali done信号一直起

2020-07-15 21:14:22 1197

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除