Verilog学习笔记1:D触发器

代码1:

`timescale 1ns/10ps

module d_trigger(
				d,
				clk,
				q);

input d;
input clk;
output q;

assign q=~d;
				
endmodule

//testbench
module d_trigger_tb;
reg clk;
reg d;
wire q;

d_trigger d_trigger(
					.d(d),
					.clk(clk),
					.q(q)
					);

initial begin
				d<=0;clk<=0;
		#1000 	$stop;

end
always #10 begin clk<=~clk;d<=~d;end
endmodule

实验波形

 貌似没什么问题

代码二:

`timescale 1ns/10ps

module d_trigger(
				d,
				clk,
				q);

input d;
input clk;
output reg q;

always@(posedge clk) 
begin
	q<=d;
end
				
endmodule

module d_trigger_tb;
reg clk;
reg d;
wire q;

d_trigger d_trigger(
					.d(d),
					.clk(clk),
					.q(q)
					);

initial begin
				d<=0;clk<=0;
		#10 	clk<=1;d<=1;
		#10 	clk<=0;d<=1;
		#10 	clk<=1;d<=0;
		#10 	clk<=0;d<=1;
		#10 	clk<=1;d<=0;
		#10		$stop;

end
endmodule

 实验波形:

小结 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

千册

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值