自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(18)
  • 问答 (1)
  • 收藏
  • 关注

原创 概率与测度

积分变换定理设 fff 是 (Ω,F,μ)\left(\Omega, \mathscr{F}, \mu\right)(Ω,F,μ) 到 (E,E)\left(E, \mathscr{E}\right)(E,E) 的可测映射,定义 μf:=μ(f−1(B))\mu_f:=\mu(f^{-1}(B))μf​:=μ(f−1(B)), 由定理【待补充】的证明知,μf\mu_fμf​ 是 E\mathscr{E}E 上的测度,称为 μ\muμ 在 E\mathscr{E}E 上由 fff 导出的测度。(积分变换定

2021-01-10 15:54:23 1797

原创 组合数学(待填坑)

第零部分背景知识组合数学围绕:存在性如果存在如何计数的问题展开对于存在性,抽屉原理是常用的第一部分围绕:1、可区分小球放到可区分盒子:排列组合2、不可区分小球放到可区分盒子:解方程,隔板法3、可区分小球放到不可区分盒子:Stirling数和递推方法4、不可区分小球放到不可区分盒子:整数划分问题第二部分容斥原理和递推方法容斥原理只要耐心分析,基本上可以解决大部分题目,但是可能非常麻烦因此有递推公式和求通解的方法。母函数:跟据题目构造母函数求个数,也是常用计数方法波利亚计数法和

2020-11-29 10:28:59 241

原创 Moore和Mealy型FSM

MooreMealymodule top_module ( input clk, input areset, input x, output z); parameter A=2'b01, B=2'b10; reg [1:0] state, next_state; always @(*)begin case(state) A: begin ...

2020-11-15 16:08:35 468

原创 CMake

查看变量cmake --help-variable CMAKE_MODULE_PATH常用变量CMAKE_MODULE_PATH查看变量后发现该变量默认为空,需要自己定义。这个变量用来定义自己的cmake模块所在的路径。如果工程比较复杂,有可能会自己编写一些cmake模块,这些cmake模块是随工程发布的,为了让cmake在处理CMakeLists.txt时找到这些模块,你需要通过SET指令将cmake模块路径设置一下。比如SET(CMAKE_MODULE_PATH,${PROJECT_SOUR

2020-11-05 22:03:12 2720 1

原创 更新过程年龄问题(完)

首先分析年龄At>xA_t>xAt​>x事件的等价定义,令N(t)N(t)N(t)是ttt时刻发生的事件个数,SnS_nSn​是第nnn个事件发生的时间,Xn+1X_{n+1}Xn+1​是第n个事件到第n+1个事件的间隔。{At>x}={{N(t)−N(t−x)=0},t⩾xϕ,t<x\{A_t>x\}=\left\{\begin{array}{l}\{N(t)-N(t-x)=0\}, \quad t \geqslant x \\ \phi, \quad t<

2020-11-02 16:26:36 464

原创 条件概率和正则概率(完)

条件概率有R-N定理,条件概率 P(A∣C)\mathbf{P}(A \mid \mathcal{C})P(A∣C) a.e. 唯一。要让E(X∣C)=∫XP(dw′∣C)\mathbf{E}(X\mid \mathcal{C})=\int X \mathbf{P}(dw^{'} \mid \mathcal{C})E(X∣C)=∫XP(dw′∣C)成立,那么需要对每个 ω∈Ω\omega \in \Omegaω∈Ω ,上述关系都成立。为了保证可积性,对 ∀A∈σ(X)\forall A \in

2020-10-26 16:02:55 1426 1

原创 无限维乘积空间(彼此独立)的测度(完)

设 T={t:t∈T}T=\{t: t \in T\}T={t:t∈T} 为任意指标集, {(Ωt,Ft):t∈T}\left\{\left(\Omega_{t}, \mathscr{F}_{t}\right): t \in T\right\}{(Ωt​,Ft​):t∈T} 为一族可测空间,Ω=∏t∈TΩt,F=∏t∈TFt\Omega=\underset{t \in T}{\prod} \Omega_{t}, \quad \mathscr{F}=\underset{t \in T}{\prod}{\m

2020-10-18 22:15:53 926

原创 pcap文件解析

一个IP包头的长度最长为“1111”,即15*4=60个字节。IP包头最小长度为20字节。IP头:typedef struct ip_header {u_char ver_ihl; // 版本 (4 bits) + 首部长度 (4 bits)u_char tos; // 服务类型(Type of service)u_short tlen; // 总长(Total leng

2020-10-11 21:37:26 336

原创 数学分析、实变函数与泛函分析

数学分析、实变函数与泛函分析实数泛函的观点:自然数到有理数,有理数到柯西列(元素为有理数),实数就是所有柯西列收敛的极限点的集合实数的性质1、若 x<yx<yx<y,则 ∃r∈Q\exist r\in \mathbb{Q}∃r∈Q,使得 x<r<yx<r<yx<r<y。2、若 ∀ϵ>0,a<b+ϵ\forall \epsilon >0, a<b+\epsilon∀ϵ>0,a<b+ϵ,则 a≤ba\le ba≤

2020-09-24 18:10:31 2168

原创 BGP

BGPASBGP中每个AS都会有一个唯一的AS号电信163 AS号:4134电信CN2 AS号:4809网通 AS号:9929BGPpeer:对等体关系传递的信息:AS自己的路由前缀 + 路径属性,如AS100传给AS200会把100写在AS_Path中,如果A收到AS_Path=200 100说明传给A的信息经过了AS200和AS100。使用TCP为传输层协议,端口号179。...

2020-09-09 15:57:11 841

原创 计算机网络名词

计算机网络名词1、POP:入网点,位于网络企业的边缘外侧,是访问企业网络内部的进入点,外界提供的服务通过pop进入,这些服务包括Internet接入,广域连接以及电话服务(PSTN)。在企业中,POP提供通往外部服务和站点的链路,POP可以直接连接到一家或多家ISP,这样内部用户便可以通过这些链路来访问Internet。企业的远程站点也通过POP连接在一起,这些远程站点之间的广域链路由服务商建立。2、MPLS:一级ISP的骨干网采用IP/MPLS等协议。MPLS 独立于第二和第三层协议,诸如ATM 和I

2020-09-01 14:56:23 667

原创 Verilog语言快速入门

Verilog语言快速入门基本框架module<模块名> (端口列表)端口说明input outputinout参数定义数据类型定义连续赋值语句assign过程块 initial(行为描述语句)always(行为描述语句)低层模块实例任务和函数延时说明块endmodule行为描述语句只能出现在initial、always两种语句内。q=a+b;q<=a+b这样的行为语句只能出现在always块内,而且always块内只能使用reg变量。

2020-08-27 16:28:52 4239 1

原创 Verilog中Latch的产生

Verilog中Latch的产生例子module test(Din, CS, WR, Dout ) ; input CS, WR; input Din; output Dout; reg Dout; always @ (CS or WR or Din) begin if (!CS) if(WR) Dout = Din; else Dout = Dout; else Dout = 1'bz; endendmodule在上述例子中,CS是清

2020-08-27 11:53:32 2992

原创 生日悖论和Hash冲突(完)

生日悖论和Hash冲突生日悖论问题任何NNN个人中,求至少有两个人生日相同的概率。定义概率空间(Ω,F,P)(\Omega, \mathcal{F},P)(Ω,F,P),Ω={(n1,n2,n3,… )}\Omega=\{(n_1,n_2,n_3, \dots)\}Ω={(n1​,n2​,n3​,…)},nin_ini​代表第iii个人的生日,共可列个人。假设N=2N=2N=2,那么这两个人生日相同的概率是P({ω:X1(ω)=X2(ω)})P(\{\omega:X_1(\omega)=X_2(\o

2020-08-26 10:54:01 689

原创 FPGA开发记录(三)

添加VHDL或者Verilog文件自己手动新建vhdl或者verilog文件,写好实体名,编译,便会自动生产实体并且绑定文件。VHDL中process语句执行顺序详解library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity test is port( clk: in std_logic; c_i: in std_logic;

2020-08-24 17:25:37 151

原创 条件期望的测度论解释

条件期望引入不严格的引入,随机变量X在事件B上的条件期望为:E(X∣B)=∫BXdPP(B),E(X|B)=\frac{\int_BXdP}{P(B)},E(X∣B)=P(B)∫B​XdP​,可以得到公式1:∫BE(X∣B)dP=∫B∫BXdPP(B)dP=∫BXdPP(B)P(B)=∫BXdP\int_B E(X|B)dP=\int_B \frac{\int_BXdP}{P(B)} dP= \frac{\int_BXdP}{P(B)} P(B)=\int_BXdP∫B​E(X∣B)dP=

2020-08-23 22:36:45 2038

原创 一致可积

一致可积如果随机变量XXX在概率空间(Ω,A,P)(\Omega, \mathcal{A}, P)(Ω,A,P)是可积的,即∫Ω∣X∣dP<+∞,\int_{\Omega}|X|dP<+\infty,∫Ω​∣X∣dP<+∞,那么则有:lim⁡C→+∞∫Ω∣X∣1∣X(ω)∣>CdP=0.{\lim_{C \to +\infty}}\int_{\Omega}|X|1_{|X(\omega)|>C}dP=0.C→+∞lim​∫Ω​∣X∣1∣X(ω)∣>C​dP

2020-08-23 17:41:07 3502

原创 FPGA开发记录(一)

Stratix IV: EP4SE530H35C2N开发流程记录测试下载Quartus II 13.1 完全版并破解。安装usb-blaster驱动(E:\Quartus_13_1\quartus\drivers\usb-blaster\usbblstr.inf)右键安装进入Quartus II 13.1直接点program device安装给的例子程序(已经编译好了的sof文件)成功!...

2020-08-21 10:58:57 219

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除