verilog语句

Verilog HDL中的标识符( i d e n t i f i e r )可以是任意一组字母、数字、 $符号和_(下划线)符号的
组合,但标识符的第一个字符必须是字母或者下划线。另外,标识符是区分大小写的。以下
是标识符的几个例子:
C o u n t
COUNT / /与C o u n t不同。
_ R 1 _ D 2
R 5 6 _ 6 8
F I V E $

转义标识符(escaped identifier )可以在一条标识符中包含任何可打印字符。转义标识符以 \
(反斜线)符号开头,以空白结尾(空白可以是一个空格、一个制表字符或换行符)。下面例举
了几个转义标识符:
\ 7 4 0 0
\ . * .$
\ { * * * * * * }
\ ~Q
\O u t G a t e O u t G a t e相同。

对比可知 标识符和转移标识符的差别,转移标识符的表达内容更广泛,不受约束



'开头类似于define命令
以`(反引号)开始的某些标识符是编译器指令。在 Verilog 语言编译时,特定的编译器指
令在整个编译过程中有效(编译过程可跨越多个文件),直到遇到其它的不同编译程序指令。
 

` u n d e f W O R D
// 在` u n d e f编译指令后, W O R D的宏定义不再有效.

该编译器指令将所有的编译指令重新设置为缺省值。
` r e s e t a l l




 ` u n c o n n e c t e d _ d r i v e p u l l 1
. . .
/ *在这两个程序指令间的所有未连接的输入端口为正偏电路状态(连接到高电平) * /
` n o u n c o n n e c t e d _ d r i v e
`unconnected_drive pull0
. . .
/ *在这两个程序指令间的所有未连接的输入端口为反偏电路状态(连接到低电平) * /
` n o u n c o n n e c t e d _ d r i v e




(2+3)'b10 非法:位长不能够为表达式


使用传统的十进制表达的时候,小数点两侧必须都有1位数字  1.为非法表达


  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值