Verilog中循环语句使用

  • 概述
  • for语句
  • repeat语句
  • while语句
  • forever语句

1.概述

对verilog中四种循环语句进行介绍,其中for、repeat、while可综合,forever不可综合,分别介绍其语法结构并给出相应的demo code

2.Verilog中循环语句

2.1 for

for语句语法:

for(循环初始值设置表达式;循环控制条件表达式;循环控制变量增值表达式)
	begin     循环体语句结构         end

使用for语句实现的4位乘法器设计:

module Loop(A,B,R);
parameter S=4;
input[S:1] A,B;
output[2*S:1] R;
reg[2*S:1] R;
integer i;
always@(A or B)
	begin
	R=0;
	for(i=1;i<=S;
  • 4
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值