java图像处理-(指定区域内)灰度化、透明化(alpha通道)处理

近日在一家小公司实习,老板要求我写一个图形编辑器,其中涉及到用java处理图像。一般图像处理python用的比较多,感觉网上关于java处理图像的资料不是很多(也许是因为我没有认真的去翻吧……)所以想把自己处理的一些想法记录一下。

灰度化

图片的rgb通道alpha通道什么的我暂时就不细讲了,网上资料很多。先说一下怎么读一个图片。

private BufferedImage initBufferedImage(String imagePath) {
        File file = new File(imagePath);
        BufferedImage image = null;
        try {
            image = ImageIO.read(file);
        } catch (IOException e) {
            e.printStackTrace();
        }
        return image;
    }

将图片灰度化其实很简单

private BufferedImage grayProcess(BufferedImage sourceImage){
    int width = sourceImage.getWidth();
    int height = sourceImage.getHeight();
    BufferedImage grayImage = new BufferedImage(width, height, BufferedImage.TYPE_BYTE_GRAY);// BufferedImage.TYPE_BYTE_GRAY指定了这是一个灰度图片 
    for(int i= 0 ; i < width ; i++){  
            for(int j = 0 ; j < height; j++){  
                int rgb = image.getRGB(i, j);  
                grayImage.setRGB(i, j, rgb);  
        }  
    } 
}

接下来当然是要将图片写成文件

private void writeBufferedImage(BufferedImage img,String filePath){
        String format = filePath.substring(filePath.indexOf('.')+1);
        //获取图片格式
        System.out.println(format);
        try {
            ImageIO.write(img,format,new File(filePath));
        } catch (IOException e) {
            e.printStackTrace();
        }
    }

设置图片透明度

下面这段代码可以将图片的背景色设为透明,当然图片不能太复杂,而且背景色要比轮廓的颜色浅。给个例子,左边原图,右边处理过的图。
这里写图片描述 这里写图片描述

/**
简单介绍一下思路,首先遍历所有像素,计算一下整张图的灰度的平均值(grayMean),轮廓颜色较深,灰度值比较小,背景颜色较浅,灰度值较大,加权平均之后总的平均值会比背景的灰度值略低,然后再次遍历所有像素,并计算每个像素点的灰度,如果其灰度值比grayMean大,则将其alpha值设为0,即完全透明,否则不做处理。
*/
package imageprocess;

import java.awt.image.BufferedImage;

/**
 * Created by alex on 7/24/16.
 */
public class AlphaProcessor {
   
    /**
     * 处理透明度
     */
    public BufferedImage alphaProcess(BufferedImage bufferedImage) {
        //获取源图像的宽高
        int width = bufferedImage.getWidth();
        int height = bufferedImage.getHeight();
        System.out.println(width+" "+height);
    //实例化一个同样大小的图片,并将type设
  • 5
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
FPGA图像处理中的灰度化是指将彩色图像转换为灰度图像的处理过程。在FPGA的实现中,可以使用Verilog语言来实现彩色图像的灰度化算法,并通过Modelsim进行仿真验证。灰度化的算法通常是基于RGB通道的像素值,按照一定的公式进行转换。其中常用的公式为:GRAY = 0.299R + 0.587G + 0.114B。由于FPGA不方便进行小数运算,可以将公式中的系数放大256倍进行运算,然后右移8位,即GRAY = (77R + 150G + 29B) >> 8。这样可以实现将彩色图像的每个像素点转换为对应的灰度值。为了解决时序问题,可以对该公式进行流水线处理,分为三个阶段:乘法、加法和移位。通过这样的流水线处理,可以实现灰度化的算法在FPGA上的高效实现。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [基于 FPGA 的彩色图像灰度化的设计实现](https://blog.csdn.net/wd12306/article/details/130711477)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *2* [FPGA图像处理-灰度化](https://blog.csdn.net/lzl1342848782/article/details/125048818)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *3* [基于FPGA图像处理灰度图像变换](https://download.csdn.net/download/qq_40261818/10611461)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值