自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

followingturing 追随图灵的路上...

悉心求学,博采众长;寡言广学,先博后渊。

  • 博客(9)
  • 资源 (3)
  • 收藏
  • 关注

转载 教你如何在中关村这个险恶的地方买到最便宜的称心如意的笔记本电脑

算来10年在中关村买了20多台笔记本了,无数心得体会,和大家交流一下。大言不惭的说,很多东西是很独家的,比许多官方的买笔记本的攻略更有用,更适合中国北京中关村的村情。    先说买之前的准备工作。东西上没什么要准备的,一支笔,一双白手套,一个u盘(装两个软件就行,CPU-Z和NOKIA MONITORTEST)。最重要的是做好思想准备,去中关村买电脑最忌讳的事情就是一无所知。去之前一定要把自己要买的型号的具体型号名字背下来,主要配置要有所知晓。可以多准备几个。还要查询一下价格,中关村在线、泡泡网、it168

2010-09-27 15:17:00 7789 2

转载 编程修养

今天读到一篇美文,关于编程的思考,写的很好很经典,源地址是:http://blog.csdn.net/DelphiCodeHome/archive/2005/10/12/500843.aspx全文如下:什么是好的程序员?是不是懂得很多技术细节?还是懂底层编程?还是编程速度比较快?我觉得都不是。对于一些技术细节来说和底层的技术,只要看帮助,查资料就能找到,对于速度快,只要编得多也就熟能生巧了。 我认为好的程序员应该有以下几方面的素质: 1、有专研精神,勤学善问、举一反三。 2、积极向上的态度,有创造性思维。

2010-09-02 14:14:00 658

转载 基于FPGA的VHDL时钟程序

基于FPGA的VHDL的时钟程序,可用按键控制较时,有秒闪,调时指示!library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;----------------------------------------------entity clock is port(clk:in std_logic;--系统时钟 key:in std_logic_vector(3 downto 0);

2010-09-02 14:01:00 1862

原创 linux下替代windows的软件列表

<br />Linux下数百种 Windows 软件的免费替代品列表<br />程序所执行任务的描述    Windows    Linux<br />1) 网络连接<br />网页浏览器    微软 IE,网景 Netscape / Mozilla, Opera [私有],Firebird,……    1) Netscape/ Mozilla<br />2) Galeon.<br />3) Konqueror.<br />4) Opera.[私有]<br />5) Firebird.<br />6) Na

2010-09-02 13:55:00 17772 1

原创 一个博客。

今天偶然逛到一个技术博客,里面的文章很丰富。读了两篇感觉还可以,留个记录。http://nidiya.bokee.com/

2010-09-02 13:52:00 623

转载 病毒编写教程—2

;--------------------------------------------------------------------------- ; SetFilePointer 使文件指针指向一个打开的文件。 ; ; DWORD SetFilePointer( ; HANDLE hFile, // 文件的句柄 ; LONG lDistanceToMove, // 需要移动文件指针的字节数 ; PLONG lpDistanceToMoveHigh, // 要移动距离的高位字 ; ; DWORD dw

2010-09-02 13:47:00 1429

转载 病毒编写教程—3

lea esi,dword ptr [ebx+top_chain] ; ESI = Ptr to stored variable lodsd ; EAX = Top Chain xor edx,edx ; EDX = 0 xchg [eax],edx ; Top Chain = NULL ; EDX = Address of Top Chain pushad call Infection popad mov [eax],edx ; Restore Top Chain这个简单多了,啊?:)所有的概念("Hoo

2010-09-02 13:45:00 2885

原创 病毒编写教程—1

<br />声明】 <br />~~~~~~~ <br />作者对因对此文档使用不当而造成的任何损失概不负责。这篇教程的目的是教会人们编写病毒和防护一些破坏力大的病毒的破坏。这篇教程仅作为教学目的。所以,如果有人利用这篇文章编写了破坏力很大的病毒,我可不负责任。如果通过这篇文章你看到我鼓励人们破坏数据的字眼,先去买副眼镜再说。 <br />【介绍】 <br />~~~~~~~ <br />亲爱的同志们,大家好,你还记得Billy Belceb的病毒编写教程吗?那是一篇关于过时的MS-DOS病毒的教程。在那篇

2010-09-02 13:37:00 3033 1

转载 基于实验开发板的按键处理与LED显示

几天偶然发现这段代码,基于实验开发板的按键处理与LED显示。就贴在这里了。library ieee;use ieee.std_logic_1164.all;--实体entity anjian isport(clk:in std_logic; a:in std_logic_vector(5 downto 0); q:out std_logic_vector(16 downto 0));end anjian;--结构体architecture mux of a

2010-09-02 13:32:00 799

2011年北邮计算机各组复试线

很难得的资源!~~我好不容易找到的~2011北邮复试分数线!

2011-04-29

【加密解密_技术内幕】

【加密解密_技术内幕】 权威,计算机专业必备!

2009-08-21

华为 编程规范和范例

华为 编程规范和范例 最权威的总结,计算机专业必备!

2009-08-21

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除