硬件描述vhdl及相关
文章平均质量分 78
followingturing
这个作者很懒,什么都没留下…
展开
-
基于FPGA的VHDL时钟程序
基于FPGA的VHDL的时钟程序,可用按键控制较时,有秒闪,调时指示!library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;----------------------------------------------entity clock is port(clk:in std_logic;--系统时钟 key:in std_logic_vector(3 downto 0);转载 2010-09-02 14:01:00 · 1862 阅读 · 0 评论 -
基于实验开发板的按键处理与LED显示
几天偶然发现这段代码,基于实验开发板的按键处理与LED显示。就贴在这里了。library ieee;use ieee.std_logic_1164.all;--实体entity anjian isport(clk:in std_logic; a:in std_logic_vector(5 downto 0); q:out std_logic_vector(16 downto 0));end anjian;--结构体architecture mux of a转载 2010-09-02 13:32:00 · 799 阅读 · 0 评论 -
pid算法
pid算法 pid算法详解 pid 工程控制原创 2011-04-29 20:28:00 · 2435 阅读 · 0 评论