自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(17)
  • 资源 (15)
  • 收藏
  • 关注

转载 灵活使用示波器触发功能,帮助大大提高测量效率

转自泰克示波器官网:灵活使用示波器触发功能,帮助大大提高测量效率<br /> <br /><br />每个工程师刚刚开始接触示波器的时候,都是从最基础的数字信号的信号质量开始测量的。找一块板子,接一个时钟信号,一个数据信号,测量它们的最大/ 最小电压(Max/Min) 、建立/ 保持时间(Setup/Hold Time) 、上升/ 下降时间(Rise/Fall Time) 等基础参数。这些基础参数的测量老工程师们都耳熟能详,也都知道怎么去测量它们,但很多朋友却不知道,如果能灵活地使用示波器的各种

2011-03-29 22:39:00 1436 1

转载 中兴招聘面试问题:有源晶振输出串个电阻做啥用?

<br />1.晶振输出串电阻就来自于最小化设计,对于数字电路里最重要的时钟源部分,应该特别注意保证信号完整性,最小化设计中晶振外围电路除了电阻还要有一些其他器件。<br />    串电阻是为了减小反射波,避免反射波叠加引起过冲。有时,不同批次的板子特性不一样,留个电阻位置便于调整板子状态到最佳。如无必要串电阻,就用0欧电阻连接。反射波在大部分电路里有害,但PCI却恰恰利用了反射波形成有效信号。<br />2.<br />一、减少谐波,有源晶体输出的是方波,这将引起谐波干扰

2011-03-29 02:00:00 1574

转载 TVS ESD 二极管介绍与应用说明

<br />便携式设备的ESD 保护十分重要,而TVS 二极管是一种十分有效的保护器件,与其它器件相比有其<br />独特的优势,但在应用时应当针对不同的保护对象来选用器件,因为不同的端口可能受到的静电冲击有<br />所不同,不同器件要求的保护程度也有不同。要注意相应的参数鉴别以及各个生产商的不同设计,同时<br />还要进行合理的PCB 布局。本文介绍在便携式设备的ESD 保护中如何应用TVS 二极管器件。<br />便携式设备如笔记本电脑、手机、PDA、MP3 播放器等,由于频繁与人体

2011-03-29 00:00:00 5193

转载 TVS瞬态电压抑制二极管(钳位二极管)原理参数

<br />瞬态电压抑制二极管(TVS)又叫钳位二极管,是目前国际上普遍使用的一种高效能电路保护器件,它的外型与普通二极管相同,但却能吸收高达数千瓦的浪涌功率,它的主要特点是在反向应用条件下,当承受一个高能量的大脉冲时,其工作阻抗立即降至极低的导通值,从而允许大电流通过,同时把电压钳制在预定水平,其响应时间仅为10-12毫秒,因此可有效地保护电子线路中的精密元器件。<br />瞬态电压抑制二极管允许的正向浪涌电流在TA=250C,T=10ms条件下,可达50~200A。双向TVS可在正反两个方向吸收瞬时

2011-03-28 23:54:00 7273

转载 TVS二极管选型指南

<br /><br />一、选用指南<br />1、首先确定被保护电路的最大直流或连续工作电压,电路的额定标准电压和“高端”容限。<br />2、<br />TVS的额定反向关断电压VWM应大于或等于被保护电路的最大工作电压,若选用的VWM太低,器件有可能进入雪崩状态或因反向漏电流太大影响电路的正常工作。<br />3、<br />TVS的最大箝位电压VC应小于被保护电路的损坏电压。<br />4、<br />TVS的最大峰值脉冲功率PW必须大于被保护电路内可能出现的峰值脉冲功率。<

2011-03-28 23:52:00 5929

转载 TVS二极管的选型和应用测试计算实例

<br /><br />    很多工程师在电路设计时都会考虑到EMC,但是在ESD方面却是很少考虑或甚至不考虑。个人认为有些是产品特性或是成本原因不考虑防雷防静电,但据了解,相当多的工程师特别是比较年轻的工程师都不知道TVS在电路保护中的重要性,有些工程师甚至都没听说过TVS管。大家都知道卫星高频头的生产车间对静电要求不亚于手机的生产,但本人在做几年的LNB设计中都没接触过TVS,也是后来的工作中才慢慢接触到一些。理论上,大部分有可能会接触静电的电路都应该要加TVS以保护,比如手机等数码产品,

2011-03-28 23:47:00 3554 2

原创 ADS8364 VHDL程序正式版

<br />这个程序的寄存器读取时和STM32通讯的,之前有一个是和AVR通讯的,这个程序已经调试通过,原理比较简单,相信认真看的都能够明白。<br /><br />因为ADS8364为差分AD,所以其输出为补码形式,按照2.5V的参考电压源输出的数据范围为-32768~+32768,如果AIN- 连到VREF(2.5V),那么当AIN+ 输入为0时输出的数据为0x8000,如果AIN+ 输入为2.5V则输出数据为0x0000,AIN+ 输入为5V时输出数据位0x7F。程序如下:<br /><br />--

2011-03-27 18:39:00 1862

原创 一个电容引发的血案-经验教训篇

<br />最近一直在调试ADS8364,我的系统中首先通过采样电阻采样MOSFET驱动的电磁铁的电流,采样出来是电压信号,电压信号送入隔离运放7800,在进7800之前并联了一个电容滤波,7800出来的是差分信号经过仪表放大器放大之后送入8364,8364的输入范围是0-5V,仪表放大器是±12V供电,今天在调试的时候出现的情况是仪表放大器输出电压在6V多,起初以为是仪表放大器坏了,换了芯片之后仍然是这种情况,经过检查仪表放大器的输入电压为2V多,放大之后再6V多,而隔离运放的输入电压在0.3左右,隔离运

2011-03-26 18:54:00 1427

原创 VHDL ADS8364采集程序

<br /><br />这个程序是在上一篇和AVR通讯 以及PWM控制的基础之上写的,这个程序是有问题的,具体问题在8364的EOC信号低电平时间只有不到1us,时间很短,而他的时钟信号不能超过5M,这个eoc信号触发外部中断来读取数据没有问题,但是在这个程序的第二第三个状态机中病没有检测到这个信号,所以出现的状况就是一直卡在第二个状态机。<br /> <br />另外由于每个状态机可能有不同的延迟,通过仿真发现毛刺现象比较突出,现在正在写改进的程序,这个程序仅作参考。<br /> <br />librar

2011-03-25 21:29:00 1519

原创 CPLD 与AVR通信 PWM控制程序

<br /><br />library ieee;<br />use ieee.std_logic_1164.all;<br />use ieee.std_logic_arith.all;<br />use ieee.std_logic_unsigned.all;<br /> <br />entity KBCtest is<br />port(<br />rst,clk:instd_logic;--时钟和复位信号<br />--AVR 读写相关信号线<br />ale,rd,wr:in std_logic;

2011-03-23 19:58:00 1176

原创 ATmega128 串口使用注意事项

<br />一个AVR串口通信程序竟然花了五个小时,从GCC 换成CVAVR一直没有调通,搞的非常郁闷,最后发现因为用的是ISP的下载方式的问题,而ATmega128的ISP下载接口用到串口0,因此当ISP下载线插在上面时就无法接收程序,但是程序发送正常。<br /> <br />另外我的串口是使用隔离芯片的,连上后ISP无法下载,中间串两个10K电阻问题解决。

2011-03-23 17:02:00 3801 1

转载 SOPC及其技术

<br />微电子技术的近期发展成果,为SOC的实现提供了多种途径。对于经过验证而又具有批量的系统芯片,可以做成专用集成电路ASIC而大量生产。而对于一些仅为小批量应用或处于开发阶段的SOC,若马上投入流片生产,需要投入较多的资金,承担较大的试制风险。最近发展起来的SOPC技术则提供了另一种有效的解决方案,即用大规模可编程器件的FPGA来实现SOC的功能。可编程逻辑器件产生于20世纪70年代。其出现的最初目的是为了用较少的PLD品种替代种类繁多的各式中小规模逻辑电路。在30多年的发展过程中,PLD的结构

2011-03-22 15:19:00 1408

转载 转:AltiumDesigner使用小窍门

<br /><br />刚刚画完一块电路板,闲来无事,想把AltiumDesigner软件中的一些使用技巧拿来与大家分享,有不对的地方希望大家多多包涵并加以指正。内容如下:<br />一、多引脚集成电路芯片封装SOIC、SOP、TSOP在AD7.1元器件封装库中的命名含义。<br />例如:SOIC库分为L、M、N三种。<br />L、M、N --代表芯片去除引脚后的片身宽度,即芯片两相对引脚焊盘的最小宽度。其中L宽度最大,N次之,M最小。<br />--这里选择名称为SOIC_127_M的一组封装为例,选

2011-03-17 10:16:00 2182

转载 DSP入门背景知识

<br />数字信号处理(DigitalSignal Processing,简称DSP)是一门涉及许多学科而又广泛应用于许多领域的新兴学科。20世纪60年代以来,随着计算机和信息技术的飞速发展,数字信号处理技术应运而生并得到迅速的发展。在过去的二十多年时间里,数字信号处理已经在通信等领域得到极为广泛的应用。 <br />    数字信号处理是利用计算机或专用处理设备,以数字形式对信号进行采集、变换、滤波、估值、增强、压缩、识别等处理,以得到符合人们需要的信号形式。 <br />    数字信号处理是围绕着数

2011-03-09 10:19:00 1614

转载 上拉电阻下拉电阻的总结(转载经典)

<br />上拉电阻:  <br />1、当TTL电路驱动COMS电路时,如果TTL电路输出的高电平低于COMS电路的最低高电平(一般为3.5V),这时就需要在TTL的输出端接上拉电阻,以提高输出高电平的值。  <br />2、OC门电路必须加上拉电阻,才能使用。  <br />3、为加大输出引脚的驱动能力,有的单片机管脚上也常使用上拉电阻。  <br />4、在COMS芯片上,为了防止静电造成损坏,不用的管脚不能悬空,一般接上拉电阻产生降低输入阻抗,提供泄荷通路。  <br />5、芯片的管脚加上拉电阻来

2011-03-06 18:18:00 810

转载 状态机实践入门

转自 ouravr论坛,原文地址:http://www.ourdev.cn/bbs/bbs_content.jsp?bbs_sn=4585393&bbs_page_no=1&bbs_id=9999不用怀疑,单片机的万能语言就是状态机。还希望大家不要条件反射式的看到状态机就 以为我要讲什么VHDL的东西——状态机是一种思维模式,是计算机理论的立足之本(不 相信请参考清华大学出版社的《自动机理论与应用》)——因此状态机的实现与语言本 身关系并不是绝对的。本文要讨论的状态机,从实现方式上更类似

2011-03-05 22:33:00 1162

原创 PCBDOC 文件瘦身

<br />设计完PCB发现文件竟然有24M,感觉太大了,后来想想可能是因为丝印层的文字标注使用了Ture Type字体所致,况且我的文件中也并没有使用中文,于是我把Turetype字体改为stroke font,但是改完之后体积竟然增到了40多兆,百度一下解决方法发现是由于嵌入字体所致,解决方法如下:<br />在PCB中按T-->P键,找到PCB Editor,再找到True Type Fonts选项,勾上“Embed TrueType fonts inside PCB documents”这样就在你的

2011-03-01 16:59:00 3640 1

OpenCV&Qt入门例程1

OpenCV 图像显示处理入门例程,详细参见博客http://www.cnblogs.com/emouse/archive/2013/03/31/2991333.html

2013-04-01

GCCAVR 语言参考以及库函数使用指南中文版

提取自ATmanAVR 6.0的说明文档,里面有详细的库函数说明和编程指南,很适合用gccavr的朋友参考。文件为chm格式帮助文档,便于查看。

2011-01-04

基于GCCAVR的诺基亚5110液晶显示工程文件

基于GCCAVR的诺基亚5110液晶显示工程文件,编译好的,包含我的示例程序。

2010-12-01

visio 模板-软件图标

visio 模板-软件图标,包含常用的软图标。

2010-05-30

Foxit Reader3注册码,3.0和3.1版本亲测可以使用

Foxit Reader3注册码,3.0和3.1版本亲测可以使用,只需要将文本内容复制到注册框里即可。

2010-05-09

USB转串口驱动,FT232R驱动程序,最新版本,支持WIN7!

很多USB转串口芯片和一些USB口的编程器都是用的这种芯片,如果插到电脑上载设备管理器里显示是FT232就可以安装这个驱动,我的AVR编程器就是这样,这个资源我找的实在是不容易,分就高点了,绝对可以使用,这种驱动不会安装的自己去网上查查,我就不说怎么安装了! 这是我亲自测试的,如果你的usb转串口芯片的确是ft232那就一定没有问题,现在很多编程器之类的用的都是这个芯片。

2010-03-08

FlashFXP3.8多语言安装版key

FlashFXP3.8多语言安装版key,我一直在用,安装文件我上传不了,自己去官网找找,这个文件打开后把内容复制过去就行了,绝对可以用。我的版本是3.7.8build1332版本,亲测!

2010-03-08

AltiumDesignerSummer9Build 9.0.0.17654破解补丁,本人亲测!

AltiumDesignerSummer9Build 9.0.0.17654破解补丁,本人亲测!

2010-03-08

最小巧最好用的PDF虚拟打印机完美支持win7

最小巧最好用的PDF虚拟打印机完美支持win7,本人亲测,不需破解,也不会自动添加页眉或者其他文字。 肯定是可以用的,遇到什么print没有启动之类的可以看看网友留言的解决方法。

2010-01-27

CH341SER 340USB转串口驱动

CH341SER 340USB转串口驱动,适用于前面所说的两个版本的USB转串口线,完美兼容win7,本人亲测! 看了网友的留言我有必要说一下,我电脑上用的就是这个驱动,驱动是inf的文件,需要手动更新,不会用的麻烦百度一下补充点基础知识,然后再试试,其次要确定线的型号要对应,别的不说了会用的自己试一下。

2010-01-27

CC2430的基础实验程序和实验指导书

包含相关的片内资源的实验源程序和中文实验指导书,可以帮助初学者较好的掌握片内资源。

2010-01-26

uCOSII源代码中文注释,包含uCOSII的所有工程文件,并给了较为详尽的中文注释。

uCOSII源代码中文注释,包含uCOSII的所有工程文件,并给了较为详尽的中文注释。

2010-01-26

CC2430的中文数据手册,pdf格式,带书签,目前最好,最完整的中文版

CC2430的中文数据手册。是英文datasheet的翻译版,目前找到的最好的中文版本。

2010-01-26

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除