几乎所有编程语言的hello, world程序(2)

D

// Hello World in D

import std.stdio;

void main()
{
   writefln("Hello World!");
}

D4

// Hello World as a relation-variable in D4

select row { "Hello World" AMessage }

Darkbasic

` Hello World in Darkbasic

print "Hello World!"
wait key

Dart

// Hello world in Dart

main() {
   print('Hello world!');
}

Databasic

PROGRAM HELLO.B
# Hello World in Databasic
CRT "HELLOW WORLD"
END

Dataflex

// Hello World in Dataflex Procedural

/tela

Hello world

/*

clearscreen

page tela

dBase

* Hello World in dBase IV

? "Hello World!"

dc

#!/usr/bin/dc
# Hello world! in dc (Unix desk calculator)
[Hello world!]p

DCL

$! Hello world in Digital/Compaq/HP DCL (Digital Command Language)
$ write sys$output "Hello World"

Delphi

// Hello World in Delphi
Program Hello_World;

{$APPTYPE CONSOLE}

Begin
  WriteLn('Hello World');
End.

Dialect

# Hello World in Dialect

print "Hello World"

DM

// Hello World in DM (Dream Maker)

mob
    Login()
        ..()

        world << "Hello World!"

DML

! Hello World in DML (Gembase database language)

PROCEDURE_FORM MAIN
        PRINT/NOWAIT ("Hello world")
END_FORM

Draco

/* Hello world in Draco */

proc main()void:
    writeln("Hello world!");
corp;

DWIM

Hello World in DWIM ("Do what I mean"). Comments are not needed in this language.
DWIM

Dylan

module:         hello-world
author:         Homer
copyright:      (c) 1994 Homer
version:        1.0

// Hello World in DYLAN

define method main (#rest args)
  princ("Hello world!");
end;

main();

DynaMorph

<<!! Hello World in DynaMorph >>
<#setString foo {
    Hello World!}#>
<html>
<head>
    <title>DynaMorph</title>
</head>
<body>
<#getString foo#>
</body>
</html>

E

# Hello World in E

println("Hello, world!")

easm


// Hello World in easm (Win32).

subsystem cui

section imports

    from msvcr70.dll import printf
    from kernel32.dll import ExitProcess

section data

    string pszOutput = "Hello World!"

section code

    call printf (&pszOutput)
    call ExitProcess (00h)

Ecstatic

Hello world in Ecstatic. No comments are possible in this language.
!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

(followed by another 24,866,256,684,781,338,733,321,022,194,929,619,017,923,951,399,467,222,375,071,721,694,990,877,932,162,871,254,860,704 exclamation points)

Eiffel

note "Hello World in Eiffel"
class HELLO
create run
feature run
       do
               print ("Hello World!%N")
       end
end

Elan

(* Hello World in ELAN *)

putline ("Hello World!");

Elixir

# Hello world in Elixir

defmodule HelloWorld do
  IO.puts "Hello, World!"
end

Elliott

:: Hello World in Elliott Autocode
SETF PUNCH
SETR 1
1)TELEPRINTER
LINE
TITLE Hello 
  • 6
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值