CALLBACK回调函数使用之二

MSDN文章理解: 实现回调函数

1. BOOL EnumWindows(WNDENUMPROC lpEnumFunc, LPARAM lParam)表示此函数需要回调函数的线索之一是存在lpEnumFunc参数.如果参数采用指向回调函数的指针,其名称中通常会有lp(长指针)前缀与Func后缀的结合   2

2.创建托管回调函数.该实例声明一个名为Callback 委托类型,此委托类型采用两个参数: hwnd和lparam. 第一个参数是窗口的句柄;第二个参数由应用程序定义. 回调函数通常返回非零值表示成功,非零值表示失败

3 创建一个委托,并将其作为参数传递给 EnumWindows 函数。平台调用会自动将委托转换为常见的回调格式。

4 确保在回调函数完成其工作之前,垃圾回收器不会回收委托。如果委托作为参数进行传递,或者所包含的委托作为结构中的字段进行传递,则该委托在调用期间不会被回收。因此,正如下面的枚举示例所示,回调函数会在调用返回前完成其工作,而无需托管调用方执行额外的操作。 然而,如果可以在调用返回后调用回调函数,则托管调用方必须采取相应的措施来确保委托在回调函数完成其工作之前不会被回收。

// A delegate type.
__delegate bool CallBack(int hwnd, int lParam);

// Managed type with the method to call.
__gc class EnumReport
{
// Report the window handle.
public:
    bool Report(int hwnd, int lParam) {
       Console::Write(L"Window handle is ");
       Console::WriteLine(hwnd);
       return true;
   }
};

[DllImport("user32")]
extern "C" int EnumWindows(CallBack* x, int y);

void main(void) {
    EnumReport* er = new EnumReport;
    CallBack* myCallBack = new CallBack(er, &EnumReport::Report);
    EnumWindows(myCallBack, 0);
}

 

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
在计算机编程中,SV(SystemVerilog)是一种硬件描述语言,用于设计和验证数字系统。SV中的回调函数是一种特殊的函数类型,它允许在特定事件发生时自动调用。 在SV中,回调函数通常与回调任务或回调接口一起使用回调函数用于在特定条件满足时执行某些操作或处理事件。以下是使用SV回调函数的一般步骤: 1. 定义回调函数:首先,您需要定义一个回调函数回调函数可以是模块内的本地函数或类内的成员函数。例如,您可以定义一个名为`myCallback`的回调函数。 2. 定义回调接口:如果您想在多个模块之间共享回调函数,可以使用回调接口。回调接口定义了包含回调函数的方法。例如,您可以定义一个名为`myCallbackInterface`的接口,其中包含一个方法`myCallback()`。 3. 实现回调接口:在需要使用回调函数的模块中,您需要实现回调接口。这样,当特定事件发生时,回调函数将被自动调用。 4. 注册回调函数:在需要注册回调函数的地方,您需要创建一个实例并将其注册到相应的模块或接口中。这样,当事件发生时,系统将自动调用注册的回调函数。 下面是一个简单的示例,展示了如何使用SV回调函数: ```systemverilog interface myCallbackInterface; // 定义回调方法 pure virtual function void myCallback(); endinterface module myModule; // 实现回调接口 class myCallbackImpl implements myCallbackInterface; virtual function void myCallback(); $display("Callback function called"); endfunction endclass // 注册回调函数 initial begin // 创建回调接口实例 myCallbackImpl callbackInst = new(); // 注册回调函数 myCallbackInterface callbackIf; callbackIf = callbackInst; // 触发事件,自动调用回调函数 callbackIf.myCallback(); end endmodule ``` 在上面的示例中,`myModule`模块实现了`myCallbackInterface`接口,并在初始块中注册了回调函数。当事件触发时,将自动调用注册的回调函数。 请注意,以上示例仅为演示目的,实际计算机系统中的SV回调函数使用可能会更加复杂。具体的实现取决于您的应用程序需求和系统架构。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值