自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

潇笙

科技分享者

  • 博客(34)
  • 资源 (9)
  • 收藏
  • 关注

原创 函数

1.用户自定义的函数 2.库函数 -字符类型分类函数:对子粗按ASCII码进行分类     -详细见字符函数,ctype.h -转换函数:对字符或字符串的转换 -目录路径函数:对文件目录和路径操作      -dir.h   dos.h -诊断函数:用于内部错误检测 -图形函数:用于屏幕管理和各种图形功能 -输入/输出函数:用于完成输入/输出功能 -接口

2013-11-30 23:55:18 436

原创 字符函数

字符检测函数isdigit(c)                                 如果c是数字,返回true,否则返回falseisalpha(c)                               如果c是字母,返回true,否则返回falseisalnum(c)                              如果c是字母或数字,返回true,否

2013-11-30 22:40:16 575

原创 字符串数组初始化、处理函数

三种初始化方法    -char c[] = {'C', 'p','g',};    -char c[] = {"C program"};    -char c[] = "C program"; 处理函数    -strlen(字符串)                                                  测试字符串长度    -strupr

2013-11-30 22:29:55 915

原创 循环结构

-for    for(循环变量初始化; 循环条件; 循环变量增量)         语句;    eg,   for (int i = 0; i                   printf("%d ", i);    } -while    当表达式为真的时候,循环循环体    while(表达式){          循环体;  }  

2013-11-30 21:51:54 508

原创 程序流程控制

-顺序结构    自上而下设计,逐句运行-选择结构    -

2013-11-30 21:33:40 483

原创 字符型数据

字符常量      -只能用单引号引起来      -只能是单个字符      -任意字符,但数字不能参与运算 常用转义字符      \n             回车换行      \t             横向跳到下一制表位置      \b             退格      \r             回车      \f        

2013-11-30 21:22:26 656

原创 字符串常量

"C program"      -双引号      - >=1个字符      -字符占一个字节的内存空间      -字符串占字节数+1个字节内存空间 字符变量      -char 变量;           char a;           a = 'x';      -主要操作            -向字符变量以整数赋值        

2013-11-30 21:21:32 603

原创 整型数据

标示形式 -八进制         前缀:数字0,通常是无符号数        015(十进制13) -十进制         无前缀         100 -十六进制         前缀:0X或0x         0X2A(十进制42)整型数据的类型 -int         -32768~32767 2字节 -long int 

2013-11-30 21:20:32 807

原创 整型、实型、字符型数据间的运算

自动转换 double   ^ | long ^ | unsigned ^ | int   自动由低向高转换

2013-11-30 21:19:35 3414

原创 运算符的优先级

优先级            运算符                                         解释                                                               结合方式1                        ()[]->                           括号,数组,两种结构成员

2013-11-30 21:19:12 526

原创 数据的输入与输出

standaed input & output常用输入输出函数   -putchar(字符参数)    等同于printf("%c“,字符参数);   -getchar()    从键盘上输入一个字符并读取    char c;    c = getchar();    只接受一个字符,若输入多个字符,只存第一个字符。    读取ASCII码,错误返回-

2013-11-30 21:16:13 511

原创 实型常量

实型常量 -十进制小数  1,1 -十进制指数  2.2E5实行变量 -类型                   字节数  (比特数)    有效数字 数的范围(10的次方) -float                    4字节   (32bits)       6 ~ 7        E-37 ~ E38 -double                8字

2013-11-30 21:12:46 2052

原创 常量和变量

1.常量 -直接常量  int a = 10; -字符常量  -#define a 10  -const float pi = 3.1415926; -常量不可被赋值2.变量 -基本知识  -数据类型   char、int、float ... ...  -全局变量  -局部变量  -静态变量   static   -静态全局变量   

2013-11-30 21:11:40 476

原创 scanf()

格式输入函数 -scanf("格式控制字符串", &地址列表);格式字符串 %[*][输入数据宽度][长度]格式字符 d      输入 十进制整数 o      输入 八进制整数 x      输入 十六进制整数 u      输入 无符号十进制整数 f或e 输入 实型数(小数或指数) c      输入 单个字符 s      输入 字符串  -

2013-11-30 21:11:00 749

原创 puts()

向标准输出设备写字符串并自动换行,直至接受换行符或EOF时才停止。puts(字符串参数); -只能输出字符串 -puts可以无限读取,不会判断上限,所以应该保证数组或其他存储结构空间足够大,以防溢出。 -puts()输出的末尾自带换行

2013-11-30 21:10:01 860

原创 gets()

gets(字符数组);向标准输入设备键盘上,读取一个字符串(可以包含空格),并将其存储到字符数组中,并用空字符(\0)代替s的换行符。 #include void main() {  char str[24];  printf("What's your name?\n");  gets(str);  puts(str); }

2013-11-30 21:09:29 562

原创 C语言数据类型概述

1.基本数据类型  特点:值不可再分解为其他类型。   -整型   -字符型   -实型   -单精度型   -双精度型   -枚举型2.构造数据类型  基本类型基础上产生的复合数据类型  -数组类型  -结构体类型  -共用体(联合)类型3.指针类型  表示某个变量在内存器中的地址 4.空类型  -void

2013-11-30 21:08:51 608

原创 Mix of blocking and non-blocking assignments to variable <en> is not a recommended coding practice.

是reg类型的“变量”在使用时应该使用符号“如:reg s;always @(*)      s = 1'b1;这里应该使用

2013-11-28 02:21:28 11326

原创 warning: Signal <> missing in the sensitivity list is added for synthesis purposes

一般是因为always写成这样                       always @ (posedge clk or negedge rst)改成这样的写法就对了                       always @ (posedge clk , posedge rst)

2013-11-28 01:30:19 4122

原创 拓扑排序 C++实现

(1) Directed Acyclic Graph 无环有向图            检测一个工程是否顺利进行            若以图中顶点表示活动,,有向边表示活动之间的优先关系,此图为AOV(Activity On Vertex network)           Vi -> Vj ,表示 Vi 是 Vj 的前趋,Vj 是 Vi 的后继(2)对AOV排序,即拓扑排

2013-11-23 00:42:24 659

原创 Chapter03 使用字符串

基本字符串操作所有标准的序列操作:索引、分片、乘法、成员资格、长度、最大小值。但是,字符串是不可变的,所以,分片赋值不合法。>>> study = 'come on baby go'>>> stydy[1:4] = 'no!'Traceback (most recent call last): File "", line 1, in stydy[1:4] = 'no

2013-11-16 13:08:22 667

原创 Merisc 死飞 LongSkid 视频

我自己的死飞视频第一个:Longskid若无法打开:地址:http://v.youku.com/v_show/id_XNjIzNzI0NDUy.html

2013-11-16 11:52:31 827

原创 Chapter02 列表和元组

python包含6种内建的序列,这里学习常用的两种:列表和元组列表可以修改,而元组不能。序列概览>>> edward = ['Edward Gumby', 52]>>> john = ['Jihn Smith', 65]>>> database = [edward,john]>>> database[['Edward Gumby', 52], ['Jihn Sm

2013-11-16 11:47:38 883

原创 Chapter01 基础知识

安装 python1.1 windowshttp://www.python.org1.2 Linux Unix绝大多数已经包含若无$ python显示Bath:python: command not foundLinux安装:$apt-get install python若果是GentooLinux$emerge python Mac安装同w

2013-11-16 00:50:53 809

原创 Chapter00 开始学习python

用书:《python基础教程》学习中的一些概念和例子,还有一些心得共享共同学习

2013-11-16 00:50:24 665

原创 HTML5 显示中文

head里加上这句就可以了

2013-11-15 16:23:21 1062 1

原创 Chapter01 verilog语言概述

开发流程:(1)设计规范(2)文本编辑(3)功能仿真(4)逻辑综合(5)布局布线(6)时序仿真(7)编程烧板基本结构:模块:module()端口说明(input,output等)参数定义数据类型定义连续赋值语句(assign)过程块(initial,always)底层模块实例任务和函数延时说明块endmodule

2013-11-14 18:03:55 947

原创 Chapter00 verilog学习

使用软件Xilinx ISE Design Suite 12.3学习书籍《Verilog HDL 应用程序设计》下载地址:http://download.csdn.net/detail/u010764893/6551823后篇都是学习中个人认为重要的一些知识,外加一些心得。共享之,希望能够一起学习,一起进步。

2013-11-14 17:59:47 922

翻译 17个新手常见Python运行时错误

1)忘记在 if , elif , else , for , while , class ,def 声明末尾添加 :(导致 “SyntaxError :invalid syntax”)该错误将发生在类似如下代码中:if spam == 42 print('Hello!')2)使用 = 而不是 ==(导致“SyntaxError: invalid syntax”)

2013-11-13 12:31:43 715

原创 中山大学 2013-2014 校历

2013-11-13 00:47:43 2454 2

原创 java 环境配置

新建系统变量JAVA_HOME 和CLASSPATH 变量名:JAVA_HOME 变量值:C:\Program Files\Java\jdk1.7.0变量名:CLASSPATH 变量值:.;%JAVA_HOME%\lib\dt.jar;%JAVA_HOME%\lib\tools.jar; 选择“系统变量”中变量名为“Path”的环境变量,双击该变量,把JDK安装路径中bin目录的绝对路

2013-11-08 14:26:38 534

转载 1198. Substring

排序 但有一种特殊情况,即b,ba。实际上应是bab,而不是bba。ConstraintsTime Limit: 1 secs, Memory Limit: 32 MBDescriptionDr lee cuts a string S into N pieces,s[1],…,s[N].   Now, Dr lee gives you these N sub-str

2013-11-08 13:50:01 501

原创 1051. Biker's Trip Odomete

ConstraintsTime Limit: 1 secs, Memory Limit: 32 MBDescriptionMost bicycle speedometers work by using a Hall Effect sensor fastened to the front fork of the bicycle. A magnet is attached to

2013-11-08 10:43:06 668

原创 ISE 12.3环境下出错“ this signal is connected to multiple drivers”

ERROR:Xst:528 - Multi-source in Unit on signal ; this signal is connected to multiple drivers.说明a【1】这个reg变量在多个always中进行赋值,是错的,只能在一个always中赋值

2013-11-08 10:05:53 5171

爬虫框架,WebMagic,依赖包下载

爬虫框架,WebMagic,依赖包下载

2023-06-08

Hadoop 课程 PPT

Hadoop大数据平台构建与应用课程ppt 米洪

2023-06-08

Html网页取色器

打开网页取色器之后,按ctrl停止取色(再按Ctrl开始取色),按对应颜色的键位复制信息,直接粘贴就好。

2015-07-07

Yah3c Ubuntu 校园网 必备

linux 校园网 替代inode利器 ubuntu13.10亲测可用 从github上下载的

2014-04-14

CUP User's Manual.pdf

CUP User's Manual.pdf 学习词法分析必备

2013-12-26

JFLex学习文档

jflex中文学习文档 学习jflex必看的入门指导读物

2013-12-23

Verilog HDL 应用程序设计 part01

Verilog HDL 应用程序设计 学习嵌入式系统结构与设计

2013-11-14

计算机网络 基本概念

计算机网络自顶向下法 基本概念 仅是基本概念 用来复习 比较好

2013-10-23

编译原理 第二版 龙书 习题答案

编译原理 第二版 龙书 习题答案

2013-10-23

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除