自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

xiahouzuoxin

一个人的喜欢就是把自己对偶然间闪过的念想坚持,直到它变成一种习惯

  • 博客(229)
  • 资源 (6)
  • 收藏
  • 关注

原创 CentOS上编译安装OpenCV-2.3.1与ffmpeg-2.1.2

已测试环境:CentOS 6.3 32bitCentOS 6.5 64bit曾经在CentOS 6.3 32bit安装过OpenCV,参见CentOS 6.3中安装OpenCV2.3.1,如今换了64bit系统,大刀阔斧,重新来一遍。检查并安装相关程序,确保gtk安装成功,否则无法显示图片yum install gcc-c++ # g++编译yum install gt

2014-07-30 12:33:22 4555 4

原创 OpenCV基础篇之读取显示图片

程序及分析/* * FileName : read.cpp * Author : xiahouzuoxin @163.com * Version : v1.0 * Date : Tue 13 May 2014 07:34:25 PM CST * Brief : * * Copyright (C) MICL,USTB */#include #include

2014-07-30 12:31:56 3156

原创 DSP/BIOS使用之初窥门径——滴答时钟及烧写Flash

操作平台和环境DSP型号:TMS320C6713仿真器:XDS510PLUSFlash型号:AM29LV800BT或AM29LV800BT都试过(一般接口一样,差别不大)RAM型号:MT48LC16M16A2P(注意16位数据线接口)DSP/BIOS库:V5.31.02CSL库:(假定读者已经会使用了)边写LED程序,边聊聊操作系统的滴答时钟在上篇文章DSP-BIOS使用入门的基础上,这里用用DS

2014-07-25 19:39:01 7119 9

原创 LabVIEW上位机与串口通信

渊源大一的时候,学校开了门公共选修课,叫LabVIEW编程,当时的我当然还不知道LabVIEW是啥东东,但还是选了。上课的老师是机械学院的一个副教授,他给我们展示了好几个用LabVIEW做的项目,譬如油箱监控上位机等,已经不太记得了。后来随着学习单片机、ARM等的串口操作,有时候一个漂亮的上位机(尤其是能显示波形的上位机)在项目中给用户展示非常的有用。过了这么多年,虽然曾经也用LabVIEW写过简

2014-07-24 15:49:11 33481 11

原创 DSP-BIOS使用入门

从环境说到搭建第一个工程请注意,此文默认读者已经对DSP及CCS V3.3环境有一定的了解了,知道cmd文件的配置,知道新建工程,编译并连接仿真器下载!如果你对这些还都不熟悉,请先熟悉这些!当然,最好要有操作系统的基本概念——任务、调度、中断!第一个问题:DSP/BIOS(好吧,我们一般这样写)是什么?是TI公司专门为DSP开发的嵌入式实时操作系统,既然是TI公司为自己的DSP开发的——官方的,当

2014-07-24 15:43:32 33776 16

原创 DSP连接不上CCS3.3的问题讨论

环境操作系统:Win7, 64bitIDE:CCS V3.3仿真器:SEED XDS510PLUSDSP型号:TMS320C6713GDP(DSP6713)检查步骤试着按下复位按键后再点击Connect连接请检查电源供电是否正常(核心电压是否为1.2V,IO口电压是否稳定为3.3V)使用示波器AC测试检查供电的纹波,TMS320C6713数据手册的99页有纹波的范围要求如果是用电池供电,检查电池电

2014-07-06 16:58:42 9647

原创 对功率谱的一点理解

下面的matlab程序分别使用周期图法、相关函数法以及AR谱方法计算信号的功率谱。% power spectrum estimatedclear all;clc;close all;Fs=1000; % 采样频率nfft = 1024; % fft计算点数%产生含有噪声的序列n=0:1/Fs:1;xn=cos(2*pi*100*n)+3*cos(2*pi*200

2014-07-05 22:28:37 22614 2

原创 三极管的电平转换及驱动电路分析

3.3V-5V电平转换电路如上图,左端接3.3V CMOS电平,可以是STM32、FPGA等的IO口,右端输出为5V电平,实现3.3V到5V电平的转换。现在来分析下各个电阻的作用(抓住的核心思路是三极管的Vbe导通时为恒定值0.7V左右):假设没有R87,则当US_CH0的高电平直接加在三极管的BE上,>0.7V的电压要到哪里去呢?假设没有R91,当US_CH0电平状态不确定时,默认是要Trig输

2014-07-03 11:11:19 33392 4

原创 PCB设计资料:看到最后才知道是福利

PCB设计资料:看到最后才知道是福利

2014-06-27 20:11:18 7797 2

原创 CentOS配置smaba与Windows共享文件

我们知道Windows与Windows通过网络共享实现文件共享,Linux与Linux可通过NFS文件系统实现文件共享,而Windows与Linux如何实现文件共享呢,那就是Samba,本文参考相关资料,详述Samba配置的过程!

2014-06-13 10:40:13 6384 4

原创 数组的指针特性

从一道小题下手(该考点曾被腾讯等大公司作为基础笔试题考过),#include int size(char a[10]){ return sizeof(a);}int main(void){ char a[] = {'C','h','i','n','a','\0'}; char *p = "China"; char *q = a; prin

2014-06-13 10:35:24 1798

原创 使用Tcl脚本分配FPGA管脚

自动生成Tcl文件Project -> Generate Tcl File for Project...弹出如下对话框,设置脚本路径。编辑引脚使用set_location_assignment分配管脚如下:第一次配制时,没有set_location_assignment语句,自已在set_global_assignment语句下一行添加即可。

2014-06-13 10:33:28 7178 1

原创 Markdown中插入数学公式的方法

Markdown中插入数学公式的方法

2014-05-21 15:24:51 119277 15

原创 金庸武侠小说之我的见解

作为一个计算机专业的学生,我是怎样看待武侠,是如何看待程序员的人生的?”屌丝就是程序员,程序员就是屌丝,无我无人,无程序员无屌丝“

2014-05-11 20:39:08 13111 2

原创 实例学习gcc+gdb+make

为自己也为大家整理的。比较完善,看完后就能上手的gcc/gdb/make联合小教程

2014-05-10 14:53:47 11969 3

原创 Linux系统备份

Linux系统备份

2014-05-08 17:36:55 2714

原创 高频总线上的串阻问题

在高速电路中,工程师常常建议在高速总线上加33Ω串联电阻,理由有三:用于阻抗匹配可以降低电压波动与振铃效应,减小信号边沿陡峭程度,减少高频噪声及过冲也方便调试我在调试DSP6713访问SDRAM总线时,使用时钟频率50MHz。第一个PCB版本使用0Ω串联电阻,测试SDRAM没有问题,但第二版PCB使用0Ω发现EMIF的时钟波形出现异常,读取SDRAM数据也出错。出错

2014-04-29 20:46:29 6111

原创 导出CCS3.3数据及使用matlab处理的方法

做DSP开发的,如何从CCS总导出数据,如何使用matlab处理CCS导出的.dat格式数据文件?本文全都教会你!!

2014-04-22 13:39:43 11919

原创 数理统计知识整理——回归分析与方差分析

数理统计知识整理——回归分析与方差分析

2014-04-21 15:08:50 76263 5

原创 烧写Flash后的DSP程序运行不正常的情况分析

本文总结自己的实际经验,分析了“DSP程序在RAM中正常运行但在Flash烧写后无法正常运行”的几种原因或情况。

2014-04-12 13:58:57 13860 2

原创 整数转字符串的一种快速实现

整数转字符串的一种快速实现

2014-04-09 12:34:16 4352

转载 32位低功耗MCU的设计

1 前言传统的低功耗MCU设计都是以8位MCU为主,因为8位内核逻辑门数相对较少,运行或泄露电流低,售价也相对低廉。但是,许多新兴的应用都需要比8位内核更大的处理效率。近年智能生活的抬头、物联网的建立,便携式消费性电子产品与无线功能需求越来越高、设计越来越复杂,要提高性能的同时又要兼顾低功耗,需要有一款高性能低功耗的主控MCU来作为平台。另一方面,工业上的智能化也在展开,如远程监控、数字化

2014-04-08 23:59:09 5285 1

原创 Git的版本和分支管理

Git的版本和分支管理:一步步教你使用Git管理分支和Tag

2014-04-08 00:02:55 29416 1

原创 FPGA的边沿检测

以前我曾一度傻乎乎的使用always @ (posedge signal)这样的代码去检测signal的上升沿,闹出了很多问题。当受实验室的一同学指教后,再也不会傻乎乎的这样干了。当然,你看完下文也不会这样干了。检测上升沿的原理:使用高频的时钟对信号进行采样,因此要实现上升沿检测,时钟频率至少要在信号最高频率的2倍以上,否则就可能出现漏检测。具体请参见下面代码

2014-04-07 21:58:25 9918 1

原创 FPGA的复位

关于FGPA的复位当初开始学FPGA的时候,总是疑惑:FPGA不是没有复位管教么,但总在always看到有复位信号。这个复位信号(我们暂且称为rst_n)从哪里来?实际上是可以从两个方面获得的,这与我们的MCU一样。上电自动复位手动按键复位考虑到系统的初始化可能需要一定的时间,需要写一段Verilog代码进行延时复位,这段代码综合后就是上电自动复位的过程,上电自动复

2014-04-06 19:35:55 22982

原创 在DSP671x上使用Timer统计信号处理算法的时间消耗

使用定时器统计DSP程序的时间消耗

2014-03-30 23:57:17 3139

原创 TMS320C6713烧写Flash的通用方法

1 你必须知道的TMS320C6000启动过程这部分内容在我的另一篇博客DSP TMS320C6000基础学习(7)—— Bootloader与VectorTable有提到过,这里重新摘录一遍。如上图在Device Reset阶段:设备初始化为默认状态,大部分三态输出都配置为高阻态。在CPU Reset阶段:从RS上升沿处开始(这个时候,HD[4:3

2014-03-30 23:55:33 22229 20

原创 模拟信号采样与AD转换

用自然的语言描述了模拟信号采样过程要注意的问题,结合了工程实践

2014-03-21 15:45:48 39333 9

转载 改变世界的17个等式

本文转载自:http://blog.jobbole.com/62696/等式是个很奇妙的东西,它无比简洁,却能够描述万千世界。数学家、科学家伊恩·斯图尔特(Ian Stewart)还专门出了一本书,名叫《17 Equations That Changed The World(改变世界的 17 个等式)》。其中大多数等式我们都见过哦,甚至能够耳熟能详。1、勾股定理:不解释

2014-03-17 19:56:36 1772

原创 滤波器设计指标

经典滤波器与现代滤波器经典滤波器就是我们熟知的FIR和IIR,经典滤波器要求对输入信号的频率范围已知,从功能上可划分为:低通滤波器(LPF)高通滤波器(HPF)带通滤波器(BPF)带阻滤波器(BSF)陷波滤波器(Notch Filter)上面的图示是滤波器的增益曲线(Gain Curve).现代滤波器适用于

2014-03-14 21:03:43 27845

原创 数字信号处理中各种频率关系

4种频率及其数量关系FFT频率与实际物理频率关系是什么?离散傅里叶变换为什么是周期的?

2014-03-13 22:27:35 32251 3

原创 使用Markdown写文档

Markdown是一种网络书写语言,其目标是实现易读易写,且兼容HTML语言。Markdown的流行得益于Github和Stackoverflow,Stackoverflow的代码块以及Github上的README.md文件格式都是通过Markdown表现的。从这里您可以很直观的看到Markdown的效果:https://github.com/adam-p/markdown-here。

2014-02-23 11:35:12 9234 3

原创 学习FPGA的网站推荐

毫无疑问,FPGA的两大主力厂商的主页不容错过,所有资料都原滋原味,http://www.altera.com.cn/http://www.xilinx.com/,这个也可以由中文的,http://china.xilinx.com/比如,altera的Recommended HDL Coding Styles可在官网下http://www.altera.com.cn/liter

2014-02-22 12:54:47 15927 4

转载 常见算法在实际项目中的应用

原文出处: StackExchange   译文出处:http://blog.jobbole.com/52669/。近日Emanuele Viola在Stackexchange上提了这样的一个问题,他希望有人能够列举一些目前软件、硬件中正在使用的算法的实际案例来证明算法的重要性,对于大家可能给到的回答,他还提出了几点要求:使用这些算法的软件或者硬件应该是被广泛应用的;例子需要具

2014-02-21 13:14:12 7389 1

原创 配置ssh公钥访问oschina

之前一直无法使用ssh访问oschina,尝试配置多次都没成功!这里总结ssh的公钥配置过程及注意事项。我使用的是Cygwin环境,Cygwin中得安装好ssh工具,详细方法如下:ssh-keygen -t rsa -C "xiahouzuoxin@163.com"# Creates a new ssh key using the provided email# Genera

2014-02-20 13:42:15 13543 1

转载 CentOS配置yum源

网易(163)yum源是国内最好的yum源之一 ,无论是速度还是软件版本,都非常的不错,将yum源设置为163yum,可以提升软件包安装和更新的速度,同时避免一些常见软件版本无法找到。V9zz的云主机就将yum源设置为163的yum,具体设置方法如下:1,进入yum源配置目录cd /etc/yum.repos.d2,备份系统自带的yum源mv Cen

2014-02-20 09:04:23 1325

原创 Quartus ii中使用testbench文件

Quartus ii版本是13.01,原工程文件名为ex,Quartus要求最顶层.v文件名要与工程名相同,因此顶层.v文件名为ex.v                                     ==== Step1 ====Processing -> Start -> Start Testbench Template Writer生成针对工程的Testbench模板文件

2014-02-18 14:20:29 36435

原创 关于Quartus ii无法识别Modelsim路径的问题

Error: Can't launch the ModelSim-Altera software -- the path to the location of the executables for the ModelSim-Altera software were not specified or the executables were not found at specified p

2014-02-18 13:50:37 59796 14

原创 信号(互)相关及其应用

互相关函数有许多实际的用途,比如通过不同的传感器检测不同方向到达的声音信号,通过对不同方位传感器间的信号进行互相关可计算声音到达不同传感器间的时延。自相关函数还可以用来计算周期信号的周期。对此,有时间将还会对此进行详细研究。本文通过C语言和Matlab结合的方法,实现互相关算法并力图应用之。

2014-02-16 17:35:59 33001 12

转载 115家电子科技企业待遇一览

作者是西电通院2013届毕业硕士,根据今年找工作的情况以及身边同学的汇总,总结各大公司的待遇如下,吐血奉献,公司比较全。以下绝对是各大公司2013届校招的数据,少数几个是2011、2012的数据,都已经特别注明,数据真实重要性高于一切!选进来的都是已经确 认的信息,放心参考。这里所说的待遇全部为税前,另外,年薪不是简单的*12,因为有年终奖。而互联网公司的待遇package,都是包含了年终

2014-02-16 09:08:28 7354

林智仁的SVM使用方法讲义

相比与SVM的理论,该文档提供了使用LibSVM进行分类的方法和步骤,提供了完整的基础性指导

2013-07-18

林智仁SVM讲义(英文).pdf

林智仁SVM讲义(英文),SVM理论基础看这个就够了

2013-07-18

VS2008上移植uCOS_II

通过自己实验,完整移植uCOS_II到VS2008过程,里面包含一篇详细移植过程文档和所需的源码工具包

2012-06-24

轻轻松松明白快速排序

非常容易读懂的快速排序算法,自己仔细研究快速排序后写的

2012-05-21

MP3工作原理详解.word文件

详细的MP3原理的讲解资料,想做MP3的别错过哦

2011-04-23

I2C_软件包周立功

I2C总线软件开发资源包,周立功公司的,很好的单片机开发资料

2011-04-23

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除