迭代法 第1关:求最大公约数

任务描述
本关任务:用辗转相除法求两个整数的最大公约数。

编程要求
请在右侧编辑器Begin-End处补充代码,完成本关任务。

测试说明
平台会对你编写的代码进行测试,比对你输出的数值与实际正确数值,只有所有数据全部计算正确才能通过测试:

测试输入:18 30

预期输出:30和18的最大公约数是6

#include <stdio.h>

void main()
{
    /*********  Begin  **********/
int a,b,c;
scanf("%d %d",&a,&b);
if(a<b){
    c=a;
    a=b;
    b=c;
}
printf("%d和%d的最大公约数是",a,b);
do{
    c=a%b;
    a=b;
    b=c;
}while(b!=0);
printf("%d",a);
    /*********  End  **********/
}

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值