编程用于执行IFTA设计的模块

摘要

在衍射光学元件 (DOEs) 如分束器的设计中,通常采用迭代傅里叶变换算法(IFTA)。VirtualLab Fusion为所有设计参数的配置提供了一个循序渐进的向导。然而,对于某些特定的设计任务,能够以自动化的方式执行算法而不需要图形用户界面是很有意义的。因此,在本文中,展示了利用VirtualLab Fusion中自定义的C#模块来执行IFTA设计。
 

任务描述和示例代码

 

IFTA设置的准备工作
 

为了运行该模块,必须生成初始IFTA文档
 

结果
 

产生的相位函数  
 



文本文件中的性能输出

文件信息


 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
function res_angle = iterFourier(varargin) %IFTA Iterative Fourier Transform Algorithm 迭代傅里叶算法 % H = iterFourier(A) 对图像A进行30次FFT迭代运算,返回纯相位矩阵 % H = iterFourier(A, N) 对图像A进行N次FFT迭代运算,返回纯相位矩阵 % H = iterFourier(A, N, ERR) 对图像A进行N次FFF迭代运算,返回纯相位矩阵 % 当误差小于设定值ERR 则结束迭代 % % A - M×N的灰度图矩阵 % N - 是迭代次数 % ERR - 是误差阈值 % % H - 返回全息图相位 % if nargin > 0 [varargin{:}] = convertStringsToChars(varargin{:}); end [data, n_iter, err] = parse_inputs(varargin{:}); if (isempty(err)) err = 0; end data = double(data); [heigh_Pixel, width_Pixel] = size(data); I = data ./ max(max(data)); InitPhase = -pi + (pi + pi) * rand(heigh_Pixel, width_Pixel); I1 = I .* exp(1j * InitPhase); avg1=mean(mean(abs(I1))); handle = waitbar(0,'0 %','Name','Computing...'); for n = 1 : 1 : n_iter H = fft2(I1); I2 = ifft2(exp(1j .* angle(H))); avg2=mean(mean(abs(I2))); I2=(I2./avg2).*avg1; rmse = (mean(mean((abs(I2)-abs(I)).^2)))^0.5; if (rmse <= err) break; end I1 = fftshift(I) .* exp(1j .* angle(I2)); value = n/n_iter; waitbar(n/n_iter, handle, sprintf('%0.0f %%', value*100)); end close(handle); res_angle = mod(angle(H), 2*pi); end % Function parse_inputs function [data, n_iter, err] = parse_inputs(varargin) data = []; n_iter = 30; err = 0.0; if (nargin < 1 || nargin > 4) error('函数参数个数错误'); end if (size(varargin{1}, 3) > 1) error('输入不是灰度图像'); end if (nargin == 1) data = varargin{1}; end if (nargin == 2) data = varargin{1}; n_iter = varargin{2}; end if (nargin == 3) data = varargin{1}; n_iter = varargin{2}; err = varargin{3}; end end 怎么让最后的重构图与原始图一致
06-10

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值