NC 调用动作脚本推单据

nc.itf.scmpub.reference.uap.pf.PfServiceScmUtil;

    // 保存
    SaleOrderVO[] retvos =
        (SaleOrderVO[]) PfServiceScmUtil.processBatch(SOConstant.WRITE,
            SOBillType.Order.getCode(), combinBillVOs, null, null);

    //审批 
    retvos =
            (SaleOrderVO[]) PfServiceScmUtil.processBatch(SOConstant.APPROVE,
                SOBillType.Order.getCode(), combinBillVOs, null, null);
  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
ncverilog是Cadence公司的一款Verilog仿真工具,它支持使用TCL脚本批量运行仿真、自动化测试等操作。 下面是一个比较复杂的ncverilog脚本示例: ``` #!/usr/bin/env tclsh # define the simulation top level module set sim_top_module "top_module" # define the testbench module set tb_module "testbench" # define the list of design files set design_files [list \ "file1.v" \ "file2.v" \ "file3.v" \ ] # define the list of testbench files set tb_files [list \ "tb_file1.v" \ "tb_file2.v" \ ] # define the list of include directories set include_dirs [list \ "+incdir1" \ "+incdir2" \ ] # define the list of define parameters set define_params [list \ "+define1" \ "+define2" \ ] # define the list of additional parameters set additional_params [list \ "+access+r" \ "+notimingchecks" \ ] # define the list of test vectors set test_vectors [list \ "test1" \ "test2" \ "test3" \ ] # create the simulation object set sim [new Simulation] # set the simulation parameters $sim set_parameter "ncvlog_args" "[join $define_params " "] [join $include_dirs " "]" $sim set_parameter "ncelab_args" "[join $additional_params " "]" $sim set_parameter "ncsim_args" "[join $additional_params " "]" # compile the design files foreach file $design_files { $sim compile $file } # compile the testbench files foreach file $tb_files { $sim compile $file } # elaborate the design $sim elaborate $sim_top_module # run the simulation for each test vector foreach test $test_vectors { # set the test vector parameter $sim set_parameter "test" $test # run the simulation $sim simulate $tb_module } # generate the waveform $sim wave ``` 该脚本包含了以下操作: 1. 定义仿真的顶层模块和测试台模块。 2. 定义设计文件、测试台文件、include目录、define参数等列表。 3. 设置仿真参数,包括ncvlog、ncelab和ncsim的参数。 4. 编译设计文件和测试台文件。 5. 实例化顶层模块。 6. 针对每个测试向量运行仿真,并生成波形。 需要注意的是,该脚本仅供参考,具体的使用方法需要根据实际情况进行修改。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值