VHDL行为描述方式

VHDL的三种描述方法
1.行为描述
2.数据流(RTL)描述
3.结构描述

VHDL中的行为描述、数据流(RTL)描述和结构描述的区别主要在于结构体。
1.行为描述
行为描述输入与输出间转换的行为,不需包含任何结构信息,它对设计实体按算法的路径来描述。行为描述在EDA工程中通常被称为高层次描述,设计工程师只需要注意正确的实体行为、准确的函数模型和精确的输出结果就可以了,无需关注实体的电路组织和门级实现。

2.数据流(RTL)描述
数据流描述表示行为,也隐含表示结构,它描述了数据流的运动路线、运动方向和运动结果。

3.结构描述
结构描述是指将已有成果应用于新的设计中。先定义好底层的元件,然后利用元件例化语句实现整个逻辑的层级化和模块化设计。以四位全加器为例,它可以由四个一位全加器来实现,所以要用到2个VHDL文件,一个放一位全加器的代码,一个放四位全加器的代码。具体的例化方法可以参考VHDL的元件例化。在这里插入图片描述

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值