Quartus 13.0和Modelsim SE 10.1a 联合仿真

Quartus 13.0Modelsim SE 10.1a联合仿真 

1、首先在Quartus建立工程,编写HDL文件,进行编译;编译通过后编写testbench文件,再进行编译,直到通过没有错误。。。

开始仿真设置,进行如下设置

选择 Tools -> Option -> EDA tool Option 设置Modelsim的安装路径;如下图:

注意:是你自己Modelsim的安装路径;Modelsim路径\win32。。。

最底下选项必须钩选。

所有设置均是在Quartus中完成。。。


 

2、选择 Assignments -> Setting ->EDA Tool Settings -> Simulation,进行仿真设置。

(1) 设置仿真工具Tool nameModelsim设置输出网表格式Format for output netlist(我是Verilog HDL);设置Time scale,(我是1ns) ,选择Compile test Bench;如下图:

 

(2)设置 ->Test Benches…

 

新建,-> New…;设置Test bench name:(我是DDS_TOP_stimulate);勾选Use test bench to perform VHDL timingstimulate;设置你的仿真文件模块实例,(我是uut0;

选择Test bench and stimulation files,你建的testbench(一般是.v文件)

如下图:

 


设置结果:

 

点击->Apply-> OK;设置终于结束,有点繁琐吧!>_<

所有设置均是在Quartus中完成。。。

 

(3)最后点击RTL stimulation, 开始仿真。

赶紧动手探索你的神奇之旅吧,享受仿真成功带来的乐趣!!!

 

版主刚开始做教程,如有不足,敬请原谅!祝好!
  • 4
    点赞
  • 33
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 4
    评论
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

蓝亦

感谢博主辛勤的付出

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值