Verilog ACC 子程序列表

为保证子程序的原汁原味,避免拉跨拙劣的翻译水平,所有子程序列表均保留英文说明。这里也没有对所有的子程序都进行验证,由于版本或抄写问题,可能会有所疏漏,欢迎指正。建议使用时再仔细研究对应类型的子程序,多多参考其他例子中参数的类型及设置。

ACC 子程序主要分为 5 大类:句柄(handle)、后继(next)、值变链接(VCL)、取值(fetch)、杂项(miscellaneous)、修改(modify)。

句柄子程序

返回类型

调用格式及说明

handle

◆acc_handle_by_name(char *name, handle scope)

Get the handle to any named object based on its name and scope

handle

◆acc_handle_calling_mod_m()

Get a handle to the module containing the instance of the user-defined system task or function that called the PLI application.

handle

◆acc_handle_condition(handle object)

Get a handle to the conditional expression of a module path, data path, or timing check terminal

handle

◆acc_handle_conn(handle terminal)

Get the handle to the net connected to a primitive terminal, path terminal, or timing check terminal.

handle

◆acc_handle_datapath(handle modpath)

Get a handle to a data path for an edge-sensitive module path.

handle

◆acc_handle_hiconn(handle port)

Get the hierarchically higher net connection to a scalar module port or a bit-select of a vector port.

handle

◆acc_handle_interactive_scope()

Get a handle to the current interactive scope of the software tool.

handle

◆acc_handle_loconn(handle port)

Gets the hierarchically lower net connection to a scalar module port or a bit-select of a vector port.

handle

◆acc_handle_modpath(handle module, char *source, char *dest, handle source, handle dest)

Gets a handle to a module path whose source are specified.

handle

◆acc_handle_notifier(handle tchk)

Get the notifier reg associated with a particular timing check.

handle

◆acc_handle_object(char *name)

Get a handle for any named object.

handle

◆acc_handle_parent(handle object)

Get a handle for the parent primitive instance or module instance of an object.

handle

◆acc_handle_path(handle output, handle input)

Get a handle to an intermodule path that represents the connection from an output or inout port to an input or inout port.

handle

◆acc_handle_pathin(handle path)

Get a handle for the first net connected to a module path source.

handle

◆acc_handle_pathout(handle path)

Get a handle for the first net connected to a module path destination.

handle

◆acc_handle_port(handle module, int port_index)

Get a handle for a module port, based on the position of the port.

handle

◆acc_handle_scope(handle object)

Get a handle to the scope that contains an object.

handle

◆acc_handle_simulated_net(handle collapsed_net_handle)

Get the simulated net associated with the collapsed net passed as an argument.

handle

◆acc_handle_tchk(handle module, int tchk_type, char *conn_name1, int edge_type1, char *conn_name2, int edge_type2, handle conn_name1_handle, handle conn_name2_handle)

Get a handle for the specified timing check of a module (or cell).

handle

◆acc_handle_tchkarg1(handle tchk)

Get a handle for the timing check terminal connected to the first argument of a timing check.

handle

◆acc_handle_tchkarg2(handle tchk)

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Elanie1024

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值