数电实验周报(第3周)

虽然校历写的是第三周,但实际上是第一周上课。

使用的软件是Logisim2.7.1,Quartus20.1.(汉化包急切需求中……

实验内容:

一、Verilog

(1)建立第一个工程

心得:

1.当出现错误12007”Top-level design entity ”项目名称 ” is undefined”时,注意将代码中第一行module后名称改成和项目名称一致即可

2. 查看电路:Tools→Netlist Viewers→RTL Viewer

3.管脚约束:若Pin Planner界面出现“cannot display pin planner :the …… AUTO device”时在Assignments中要选定具体Device : “specific device selected in ‘available devices’ list ”

3.1.要调成这个样子(虽然不知道为什么,但是还是乖乖照做了)

 3.2管脚配置每改动一个,就会有一个小块变色,而且好像对应上了坐标

4.加载FPGA

4.1.no hardware/无法安装驱动

Quartus Prime 软件 USB-blaster 驱动安装失败【已解决】_闲话夜半酒煮茶的博客-CSDN博客_quartus驱动安装失败

也可以禁用数字签名(失败)

4.2can’t launch modelsim software

【转载】关于can't launch the modelsim software的问题解决_u013273161的博客-CSDN博客

4.3

(2) 熟悉板载资源,点亮LED

(3) 熟悉板载资源,点亮七段数码管

视频:

https://meeting.tencent.com/user-center/shared-record-info?id=74728fa9-ab7b-4afe-bfdb-0e0e978f4cf6&from=6

 等我把视频剪辑出来之后发链接到这里(其实也没啥好剪的)

  • 2
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值