自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(877)
  • 收藏
  • 关注

转载 Mac上的统一内存是什么?

关注、星标公众号,精彩内容每日送达来源:网络素材当苹果首次开始从英特尔处理器过渡到自己的基于ARM的架构时,其Mac内部发生了很大变化,其中最大的变化之一是其M系列片上系统(SoC)使用内存的方式,也就是统一内存(unified memory)。但是什么是统一内存?它在你的Mac上是如何工作的?什么是统一内存?统一内存意味着CPU和GPU使用相同的内存,而不是GPU拥有自己的独立内存。这旨在使片...

2024-09-19 10:00:32 1

转载 借得银河融融天光,拥得人间十分月色。岁岁年年,好愿圆满。

2024-09-17 10:04:21 10

转载 越来越“热”的芯片,如何降温?

关注、星标公众号,精彩内容每日送达来源:网络素材近年来,为了满足 5G、AI、汽车电子等新兴市场不断增长的算力需求,芯片的集成度不断提高,相应的功耗也随之增加。功耗增加会产品热量,当热度达到一定程度,芯片轻则宕机,重则损毁。一个直观的生活案例,这就好比我们的手机,过热会直接死机。因此芯片散热已是当今工程师的“必修课”。但为了满足便携性和美观性需求,电子设备的尺寸又必须不断减小,这就导致给散热系统...

2024-09-14 10:02:28 506

转载 FPGA实现串口升级及MultiBoot(二)FPGA启动流程

关注、星标公众号,精彩内容每日送达来源:网络素材这个系列开篇肯定要先了解FPGA的启动流程,试想一下:我想实现MultiBoot,那么我应该在什么时候开始升级,升级失败后FPGA进行了哪些操作,以及怎么回到Golden区?还有一个问题,就是我硬件打板回来,烧写进FLASH后起不来,这应该怎么排查?缩略词索引:K7:Kintex 7V7:Vertex 7A7:Artix 7以上所有这些的前提就是理...

2024-09-10 10:00:27 355

转载 multiboot远程升级详解

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材Multiboot功能介绍Multiboot是指多镜像启动,比如在FPGA的加载flash里面存放2个或者多个FPGA的配置文件,每个配置文件都可以单独完成FPGA的逻辑配置,如下图1所示;图1 multiboot Flash中配置镜像文件的结构如上图所示,Flash里面包含了Golden Bitstream和Update Bist...

2024-09-07 10:01:45 271

转载 FPGA在图像处理中的算法结构

关注、星标公众号,精彩内容每日送达来源:网络素材将图像处理的算法转换为FPGA系统设计的过程称为算法映射。映射过程中首目标便是确定系统设计的结构,在图像处理中常用的两中系统设计结构:流水线结构;并行阵列结构。流水线设计基本概念流水线处理源自现代工业生产装配线上的流水作业,是指将待处理的任务分解为相对独立的、可以顺序执行的而又相互关联的一个个子任务。流水线处理是高速设计中的一个常用设计手段,如果某...

2024-09-04 10:01:13 164

转载 FPGA入门-Vivado 使用教程

关注、星标公众号,精彩内容每日送达来源:网络素材一、创建新项目1.1 启动 Vivado打开 Vivado 应用程序,进入欢迎界面。1.2 创建新项目在欢迎界面选择“Create New Project”。输入项目名称和路径。选择“RTL Project”,并勾选“Do not specify sources at this time”。选择目标器件(如 XC7Z020-1CLG484)。点击“...

2024-09-03 10:00:24 703

转载 开发者分享|使用 PingPong DMA 实时数据采集的参考设计

关注、星标公众号,精彩内容每日送达来源:网络素材前言在嵌入式系统设计中,我们经常有实时数据采集的需求。低速率的数据一般处理难度不高,但是随着数据速率的提高,可能需要面对有限的硬件资源与系统性能的矛盾。这时候,就对软、硬件的设计要求有了更高的要求。比如处理高速率的数据的时候,经常需要更大的硬件资源,比如片上 RAM,FIFO 来缓冲数据,以提高数据的吞吐率。但是一般 FPGA 内部的片上资源很有限...

2024-08-29 10:00:57 142

转载 XILINX FPGA高速串行接口GTX

关注、星标公众号,精彩内容每日送达来源:网络素材吉比特收发器(MGT)是吉比特级串行器/解串器(SERDES)的别名。赛灵思7系列FPGA内部集成了能实现高速数据收发RocketI/O模块,采用了CML高速电平逻辑、CDR、线路编码(8B/10B)和预加重等技术的RocketI/O硬核模块,可极大地减小时钟扭曲、信号衰减和线路噪声对接收性能的影响,从而使传输速率进一步提高,可用于实现吉比特以太网...

2024-08-27 10:00:21 109

转载 FPGA内部资源(Xilinx) ---- IDELAY(延时)

关注、星标公众号,精彩内容每日送达来源:网络素材1. 7系列IO资源HR bank  在7系列FPGA中,其HR bank的IO结构如下图所示。其中在HR bank的IO都会有如下的结构部分,分别是信号输入输出的pad,然后会经过IOB,在HR bank中有用于输入延时的资源IDELAYE2这个结构。在HR bank中,没有用于输出的延时结构。最后是输入输出的逻辑或者串并转换的Serdes。...

2024-08-26 10:00:37 69

转载 ISE 转 Vivado 技巧:为什么 CPR 操作得出的效果却是相反的?

关注、星标公众号,精彩内容每日送达来源:网络素材为什么CPR操作得出的效果却是相反的?在进行时序分析时片上工艺差别通常会导致严重的“时钟悲观效应”。这种问题可以通过CPR(Clock Pessimism Reduction)操作来恢复.然而经常有用户咨询我们说在他们的设计中CPR操作并没有降低“时钟悲观效应”,效果却是相反的,在时序上并没有增加反而离时序要求差的更多了。在setup分析时,CPR...

2024-08-24 10:01:13 28

转载 MIPI、LVDS、RGB、HDMI等接口对比

关注、星标公众号,精彩内容每日送达来源:网络素材液晶屏有RGB TTL、LVDS、MIPI、HDMI接口,这些接口区别于信号的类型(种类),也区别于信号内容。具体RGB TTL接口信号类型是TTL电平,信号的内容是RGB666或者RGB888还有行场同步和时钟;LVDS接口信号类型是LVDS信号(低电压差分对),信号的内容是RGB数据还有行场同步和时钟;MIPI DSI接口信号类型是LVDS信号...

2024-08-23 10:00:17 570

转载 异步时钟约束的四种方法

关注、星标公众号,精彩内容每日送达来源:网络素材对于异步时钟有四种方法进行约束。本文介绍了每种方法的优缺点:1、set_false_path这是最原始的方法,在时序分析设计早期比较流行。这种方法有两个缺点:a. 两个方向都需要约束:clock1->clock2 以及 clock2->clock1b. 该路径没有时序要求,因此理论上路径延迟完全依赖于所使用的工具。而且这两种路径可能导向...

2024-08-22 10:00:44 87

转载 小米手环9拆解:芯片国产化率再次加速

关注、星标公众号,精彩内容每日送达来源:网络素材拆解本次拆解的是小米手环9 NFC版本,手环的外观基本没多大变化,重点还是来看下拆解后的硬件方案。从结构来看,主要是由屏幕,结构件的中框和后盖,以及PCB主板和电池组成。屏幕采用1.62英寸 AMOLED屏,上面覆盖2.5D强化玻璃盖板,最高支持1200nits亮度。屏幕背面FPC电路上带有触摸芯片和环境光传感器,支持全屏触摸操作以及屏幕自动亮度调...

2024-08-21 10:03:32 115

转载 关于 Vivado HLS 的三大误读

关注、星标公众号,精彩内容每日送达来源:网络素材目前,在高层次综合(HLS, High Level Synthesis)领域,Vivado HLS可谓独树一帜。它有效地拉近了软件工程师与FPGA之间的距离,加速了算法开发的进程,缩短了产品上市时间。对于工程师,尤其是软件工程师而言是一个福音。在实际工程中,如何利用好这一工具仍值得考究。本文将介绍使用Vivado HLS时的几个误区。误读1:只要是...

2024-08-20 10:01:07 42

转载 FPGA开发流程

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材FPGA开发流程1.1 一般性的FPGA开发流程图1- 一般性FPGA开发流程设计输入:创建FPGA工程,添加设计源文件,比如HDL文件、EDIF或NGC网表文件、原理图、IP核模块、嵌入式处> 理器以及数字信号处理器模块等。设计综合: FPGA开发工具的综合引擎将编译整个设计,并将HDL源文件转译为特定结构的设计网表约束输入...

2024-08-19 10:00:13 108

转载 FPGA可以解决哪些问题?

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材FPGA 允许在单个芯片中实现大量数字逻辑,其运行速度相对较高,并且只需很少或不需要在 CPU 内核上运行的传统顺序程序即可完成其工作。这种数字逻辑可以实现任何东西,从简单的UART到由数十个CPU内核组成的架构,每个CPU内核都运行自己的小程序,并在共享任务上相互通信。或者,它可以是几组不同的逻辑在处理完全独立的任务,这些任务彼此...

2024-08-17 10:00:38 37

转载 给你一个选择FPGA的理由——FPGA就像是一张精密的画布

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材FPGA 对绝大多数的人来说相对有些陌生。经常有朋友问我,你们成天搞的这个 FPGA 到底是什么东西?我想很难用一两句通俗易懂的语言解释什么是 FPGA,因为当今的 FPGA 已经是一个非常复杂的系统了。打个比喻来说,对于热爱乐高的人来说 FPGA 设计就像搭积木,对于爱涂鸦的我来说 FPGA 就像是一张精密的画布。借助赛灵思这样的...

2024-08-16 10:01:22 38

转载 求解!FPGA与CPLD的区别与联系

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材1、FPGA定义及特点FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输入输出模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。可配置逻辑模块是实现用户功能的基本单元,...

2024-08-14 10:00:40 55

转载 多路复用时钟和双沿时钟

关注、星标公众号,精彩内容每日送达来源:网络素材时钟多路器用于使同一个逻辑功能具有不同的时钟,比如在通信系统中,为了适应不同的数据速率要求,经常要进行时钟切换。有时为了节约功耗,也会把高速时钟切换到低速时钟,或者进行时钟休眠操作。下图是某一类型的时钟多路器:虽然在时钟信号上引入多路逻辑会产生毛刺等问题,但是在不同的应用中,对多路时钟的要求区别很大。时钟切换的最佳途径是使用FPGA内部的专用Clo...

2024-08-13 10:00:44 37

转载 如何避免亚稳态

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材1、简介每当违背建立和保持时间时,亚稳态就会出现。在以下的情况下,可能违背时序要求:输入信号是异步信号时钟偏移超过容限值信号在两个不同频率或者同频不同相的时钟域下工作组合延时使触发器的输入信号在亚稳态窗口内发生变化亚稳态会引起过多的传输延时和系统故障。虽然亚稳态不能根除,但是可以减小亚稳态发生的概率。避免亚稳态发生常见方法就是使用同...

2024-08-12 10:00:43 42

转载 超强干货||大唐电信的FPGA数字电路设计经验

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材想要了解FPGA吗?这里有实例分享,ZYNQ设计,关注我们的公众号,探索

2024-08-10 10:02:30 48

转载 数字芯片设计验证经验分享:将ASIC IP核移植到FPGA上——完成充满挑战的任务! (第二部分)...

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材主题2:当使用FPGA进行原型设计时会立即想到哪些基本概念?主题3:在将专为ASIC技术而设计的IP核移植到FPGA架构上时通常会遇到哪些困难?主题4:为了支持基于FPGA的原型,通常需要对ASIC IP核进行哪些更改?主题2:在使用FPGA进行原型设计时会用到哪些基本概念?可重用性对IP核至关重要:这些内核应该尽可能使用通用RTL...

2024-08-09 10:01:56 63

转载 FPGA到底能做什么?

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材初学者爱问这个问题,我的新书中会告诉你:逻辑粘合是FPGA早期的任务,实时控制让FPGA变得有用武之地,FPGA实现的各种协议灵活度很高,信号处理让FPGA越来越高端,片上系统让FPGA取代一切……但是,特权很纠结,一直在问自己“FPGA到底能做什么”。事情源于要启动的DVR项目,视频搞定了,也显示了,下一步要存储,传输带宽和存储容...

2024-08-08 10:00:12 49

转载 FPGA内部的Block RAM资源。

关注、星标公众号,精彩内容每日送达来源:网络素材Block RAM 资源概述Xilinx 7 系列 FPGA 中的 Block RAM 可存储高达 36 Kb 的数据,可配置为两个独立的 18 Kb RAM 或一个 36 Kb RAM。每个 36 Kb 块 RAM 可配置为 64K x 1(与相邻的 36 Kb 块 RAM 级联时)、32K x 1、16K x 2、8K x 4、4K x 9、2...

2024-08-07 10:00:29 138

转载 开发者分享|使用 AMD MicroBlaze™ 的 Boot Loader 的注意事项

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材AMD MicroBlaze™ 提供 Boot Loader,比如 SREC Boot Loader。它能将代码从 QSPI Flash 搬移到目标存储器(多半是 DRAM)运行,使 MicroBlaze 运行大程序成为可能。如果 MicroBlaze 的 Block Design 设计有问题,比如 Block Design 设计中...

2024-08-03 10:01:05 30

转载 国外大学生都用FPGA做什么项目(十五)

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接h...

2024-08-02 10:00:45 44

转载 国外大学生都用FPGA做什么项目(十三)

国外大学生都用FPGA做什么项目(十三)看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接https://people...

2024-07-30 10:00:11 59

转载 国外大学生都用FPGA做什么项目(十二)

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接...

2024-07-29 10:01:19 58

转载 国外大学生都用FPGA做什么项目(十一)

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材看看国外大学的FPGA开发项目国外大学生都用FPGA做什么项目(二)据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilo...

2024-07-28 10:00:50 54

转载 国外大学生都用FPGA做什么项目(十)

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接h...

2024-07-27 10:00:08 53

转载 国外大学生都用FPGA做什么项目(九)

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接h...

2024-07-26 10:02:52 33

转载 国外大学生都用FPGA做什么项目(八)

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接h...

2024-07-25 10:01:17 41

转载 国外大学生都用FPGA做什么项目(七)

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接h...

2024-07-24 10:01:11 39

转载 国外大学生都用FPGA做什么项目(四)

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接h...

2024-07-20 10:00:32 72

转载 绕晕了!9.11 大还是 9.9 大,难倒一批 AI 大模型?

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材「9.11 和 9.9,哪个大?」对于不少习惯了更新软件版本号的程序员而言,不少人的第一反应就是:当然是 9.11 大。但是如果没有限定场景,只是单纯地提出上面这个问题时,结果必然是相反的。没想到,将这个问题抛给前能答疑解惑、后能挑战各种高数难题的 AI 大模型时,各种“翻车”情况也随之出现了。1.国外大模型:9.11 vs 9.9...

2024-07-17 10:00:15 78

转载 国外大学生都用FPGA做什么项目(一)

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接h...

2024-07-16 10:00:19 170

转载 FPGA建立时间(setup time)&保持时间(hold time)&竞争和冒险&毛刺

关注、星标公众号,精彩内容每日送达来源:网络素材建立时间(setup time)是指在触发器的时钟信号上升沿到来以前,数据稳定不变的时间,如果建立时间不够,数据将不能在这个时钟上升沿被打入触发器;保持时间(hold time)是指在触发器的时钟信号上升沿到来以后,数据稳定不变的时间, 如果保持时间不够,数据同样不能被打入触发器。如图1 。数据稳定传输必须满足建立和保持时间的要求,当然在一些情况下...

2024-07-13 10:01:21 236

转载 vivado时序异常分析

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材时序异常英文名为Timing Exception,可以认为是时序例外或时序异常“例外”或“异常”是指这部分时序的分析与大多数常规时序分析不同。下表给出了Vivado支持的时序异常命令及功能:Vivado不支持即时分析有矛盾的时序异常,需要运行report_exceptions进行完整的分析,报告所有时序异常。多周期路径的情况有很多,...

2024-07-12 10:02:47 131

转载 JPEGLS图像压缩算法的FPGA实现

点击蓝字关注我们关注、星标公众号,精彩内容每日送达来源:网络素材1. 压缩算法简介与评估1.1 压缩算法简介无损压缩就是指经过压缩并重建后的图像和原图像完全一样,没有任何损失。如果重建图像和原图像存在差距,而误差被限制在一定的范围内就称作近无损压缩。近无损压缩虽然有所损失,但对视觉影像却很小,也可以认为是无损的。有很多无损或近无损的图像压缩算法,例如传统的JPEG无损模式、JPEG-2000等等...

2024-07-11 10:00:49 224

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除