signal rst : bit:='0'; --队长满20停止信号
signal vip_key,custom_key, --客户按键数量
waiter_vip,waiter_custom, --服务员按键数量
len_vip,len_custom,len_sign:std_logic_vector(6 downto 0);--客户长度与等待长度
2. 编译与下载
1. 原理图
2. 运行截图
3. 源代码
1. 主程序逻辑
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity main is
port(clk,clk_vip,clk_waiter,clk_custom:in std_logic;
len:out std_logic_vector(6 downto 0); --长度输出端口(0~20)
waiter:out std_logic_vector(6 downto 0); --服务数据端口
vip_sign : out std_logic_vector(3 downto 0);--vip客户标志位
man:out bit:='0'
);
end main;
architecture behav of main is
signal rst : bit:='0'; --队长满20停止信号
signal vip_key,custom_key, --客户按键数量
waiter_vip,waiter_custom,