自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(8)
  • 资源 (11)
  • 收藏
  • 关注

原创 mnist 数据集 下载 训练 测试 pytorch

1、下载可以使用#train_set = mnist.MNIST('./data', train=True, download=True)但是速度慢一般无法下载,官网下载也较慢提供官网下载的压缩包https://download.csdn.net/download/KID___/12727172使用方法└── MNIST ├── processed │├── test.pt │└── training.pt └── raw ...

2020-08-19 18:00:59 1627 1

原创 E0135 class...没有成员....C2039: Class is not a member of Namespace 非活动预处理块

在.h文件中声明过了,但是呢依然这样提示是因为这个工程是我复制的备份工程,我的include路径依然是原工程的头文件文件夹,修改现在这个工程的.h 是不生效的。把函数名字改成原来声明过的函数名,就报错中就找到了报错的头文件。 害~并且还会提示.h文件为非活动的代码块(因为在原来的include里面已经define过了)...

2020-05-05 21:10:38 8058 5

原创 fstream如何读取文件中的数字?C++

我终于用自己薄弱的c语言基础把这几个数字给读出来了。首先,我们有这样一个文件0 716 202 9300 714 205 938每个数字之间有空格,每行之间有\n第一步当然是给他读出来,存在哪呢,存在一个char的数组里吧。char buffer[256] = {'\0'}; //初始化为‘\0'方便判断结束 ifstream in("D:\\Ubunt...

2020-05-05 19:07:28 3918

原创 ubuntu cmake 安装 卸载 升级

cmake opencv4.1.0要求最低版本cmake3.5(我觉着修改camkelists也可以,但怕会出什么问题)1.卸载locate install_manifest.txt 全删掉对于apt-get的sudo apt-get remove cmake cmake-data 卸载完成2.安装 https://cmake.org/(1)解压文件tar -xvf c...

2020-04-20 13:54:24 1056

原创 Ubuntu 交叉编译 opencv contrib 4.1.0

1.下载opencv4.0.1及contribute模块https://github.com/opencv/opencv/releases/tag/4.0.1https://github.com/opencv/opencv_contrib/releases/tag/4.0.12.更换国内源 //不更换也可以使用 ubuntu 原生源下载速度,可替换为国内源,提升下载速...

2020-04-20 13:51:56 691

原创 vmware15.0虚拟机开机概率性黑屏,无法打开

经历了无数次怀着期待,希望这次虚拟机可以正常开机 ,但他最终还是让我失望了。我终于忍住不砸电脑,并理智地卸载了vmware重装了一次就正常了,但他只正常了 一次啊,之后依然开不了。于是我怀疑是vm的问题,去下载了vmware15.5,一切正常 OK!开着虚拟机缓慢地露出开机界面,内心无比舒爽。https://pan.baidu.com/s/1kP-gioCuPAhI2JFXJAAkSQ 提取...

2020-04-19 13:25:18 635 2

原创 error C2065:"..."未声明的标识符,C2065:语法错误: 标识符“...”

看到这篇想到的https://blog.csdn.net/liuxucoder/article/details/49434057?depth_1-utm_source=distribute.pc_relevant.none-task&utm_source=distribute.pc_relevant.none-task如果是直接使用别人的文档添加进自己的工程里,可能出现格式不对的问题,...

2020-04-02 18:49:16 619

原创 海思 hi3516 烧录uboot内核文件系统 板子没有系统补救

在海思板子原有sdk版本和自己的不一样时候需要重新烧录系统查看开发板烧录sdk版本:cat /pr oc/umap/vi目录向板子烧录uboot、kernel、文件系统板子里面没系统时的补救方法向板子烧录uboot、kernel、文件系统步骤123全在u-boot界面下进行 ///1.reboot重启 ---》2.enter,,进入u-boot界面////////////...

2020-02-21 12:55:44 1617

mnist数据集官网压缩包 解决下载过慢问题

└── MNIST ├── processed │   ├── test.pt │   └── training.pt └── raw ├── t10k-images-idx3-ubyte ├── t10k-labels-idx1-ubyte ├── train-images-idx3-ubyte └── train-labels-idx1-ubyte 将压缩包放在mnist/row,文件夹下运行train_set = mnist.MNIST('./data', train=True, download=True)即可解压,之后改为false即可调用

2020-08-19

.cache.zip

opencvcontrib在用cmakeconfigure过程中报错无法下载文件,将.cache文件夹放在opencv源码目录下,configure过程中会复制过去,解决Call Stack (most recent call first):9 3rdparty/ffmpeg/ffmpeg.cmake:20 (ocv_download)Couldn't connect to server问题,具体过程参见博客

2020-04-20

Q160--HMC1044 可编程谐波低通滤波器模块 -原理图 datasheet 驱动程序(STM32).zip

原理图 datasheet 驱动程序 HMC1044 可编程谐波低通滤波器,1 - 3 GHz 3 dB带宽优势和特点 可编程带宽: 1至3 GHz 兼容窄带和宽带: 集成VCO的PLL调制器解调器 LO谐波抑制: ~20 dB 改善调制器/解调器边带/镜像抑制 性能: 20 dB(典型值) 单端或差分选项 比目前的分立式固定带宽解决方案尺寸缩小90% 16引脚3x3 mm SMT封装

2020-03-16

Q63--AD831模块 高频混频器 设计参考文道

已修改为5积分。AD831的内部电路框图。图中,频频输入信号加到晶体管Q1、Q2的基极,由于电阻R1、R2的负反馈潮作用,因而差分电流射频信号的幅度成线性关系。-10dBm的本振输入信号经过一个高增益、低噪声的限幅放大器转换成方波,而后交叉地加到Q3~Q6的基极,最后混频信号从IFP和IFN脚输出。当将IFP、IFN连接到有中心抽头的变压器上时,AD831不可提供从射频到中频的单路输出。若使用输出放大器,则可降IFP和IFN脚直接与AP 和AN脚相连,这时,片内的负载电阻可将输出电流转换成电压来驱动输出放大器。

2020-03-16

Q61--ADF4351 锁相环模块-精简(点频)-资料包 PDF

康威的参考资料 数字锁相环ADF4351原理详解与合成频率源的设计-以数字锁相环ADF4351和Xilinx公司的Spartan-6系FPGA为主要元件设计了一个合成频率源。重点讨论了ADF4351的工作原...

2020-03-16

AD8318宽带检波器资料 电路图

AD8318: 1 MHz至8 GHz、70 dB对数检波器/控制器 含设计的pdf和参考资料 对于1 MHz至6 GHz信号,它能保持精确的对数一致性,并能在最高8 GHz下工作。典型输入范围为60 dB (re: 50 Ω),误差小于±1 dB。AD8318的响应时间为10 ns,能够检测45 MHz以上的RF突发脉冲。在环境温度条件下,该器件具有极佳的对数截距稳定性。该器件还提供斜率为2 mV/°C的温度传感器输出,用于其它系统监控。它采用5 V单电源供电,典型功耗为68 mA,在禁用状态下功耗降至1.5 mW以下。

2020-03-16

MAX262 开关滤波器 含pcb 源代码 及参考资料 一套搞定所有

MAX262 开关滤波器 含pcb 源代码 及参考资料 一套搞定所有 可以直接打板使用已重新修改积分为5

2019-08-05

AD8361 均方根检波模块原理图 pcb 可直接打板

内含原理图pcb工程文件以及一些调试注意事项,即打即用,自测调试可用,仅供学习~之前到36积分了,已经再次修改为5积分

2019-07-05

AD8367 AGC AD源文件 原理图 PCB

AD8367和检波器AD8361组成的AGC,亲测可用,需加电容保证回路稳定。仅作学习参考哦

2019-07-02

RS编码译码 含 工程 论文 源代码FPGA

内含RS(7,3)编码,(255,223)编码,(255.247)编码译码,均为veilogFPGA程序可仿真。为自己学习过程中参考的一些资料。

2019-07-02

ad603 AGC AD18原理图 pcb

AD603 90MhzAGC,含原理图pcb,实测带内输出平稳,需加电容保证环路稳定,初学时所画的板子,不规范之处多多包涵~

2019-07-01

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除