输入多位数方法求十位数中的最大值

#include <stdio.h>

int main(int argc, char *argv[])
{	
	int n,a,b,max,i;
	scanf("%d",&n); 
	max=n;
	for(i=2;i<=10;i++)
	{
		scanf("%d",&n);
		if(n>max)
		{
			max=n;
		}
	}
printf("%d\n",max);
	
	return 0;
}


//找出最大值的序号
#include <stdio.h>

int main(int argc, char *argv[])
{	
	int n,a,b,max,i,k;
	scanf("%d",&n); 
	max=n;
K=1;
	for(i=2;i<=10;i++)
	{
		scanf("%d",&n);
		if(n>max)
		{
			max=n;
K=i;
		}
	}
printf("%d\n",max);
	
	return 0;
}



  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
刚学习AVR时,我就想从作一件实用的设备开始,这样即学习了单片机,又得到一件作品,电源是每一个实验都离不开的设备,所以就从电源作起。 LM317是一个各项指标都优秀的线性稳压电路,用它制作的稳压电源电压调整率、负载调率都很高,另外它的保护功能均很完善。用M8去控制它可得到一个电压从0一20V,最大电流1.5A的稳压电源。 基本原理,用M8的PWM作数模转换,经过两级RC滤波后得到0一5V的控制电压,PWM是用M8的定时器1来实现的,有10位的分辨能力,控制电压从0一5V分1024步进行,这个控制电压经过运放U3A放大后得到-1.25V一18.75V的控制电压到LM317的调整端,实现电压的调整,输出电压 Uout=Uu3a十1.25V Uout 电源输出电压,Uu3a运放U3A的输出电压 为了降低功耗电源的输入电压由继电器K1切换,当输出电压<=9V时K1切换到12V ,输出电压>9V时切换到24V。 这种线性稳压电源损耗比较大,LM317的散热用了一个P3 CPU散热器和风扇,由M8计算当LM317上的功耗大于4W时启动风扇工作,这样可降低噪声和延长风扇的使用寿命,我作过测试,采用这种散热方式,在各电压范围内均可连续满负荷工作。 电源设置有一个截止型电流保护,由软件控制,调节范围0一1.5A ,我们可跟据自已实验内容来设置这个保护,当输出电流大于这个设定保护时,电源关闭输出电压为0V ,并产生声音报警。为了使用方便,初始为最大输出电流1.500A 。 参数如下: / 输出电压 0-20V 连续调节 / '/ 最大输出电流 1.5A / '/ 截止型过电流保护 0-1.5A 连续调节 电源的的工作状态如图所示 电源的启动工作状态: 电源的停止关闭状态: 电源的过电流保护状态说明: 按键S1作为电源输出的启动、停止开关,按一下开,再按一下关。S2、S3调节输出电压的加减,S4、S5调节输出电流的保护,这四个按键开关均有步进调节、连续调节和按下一定时间后快速调节功能。 电源的调整,调节R16使LCD显示电压与电源输出电压一致。调节R20使LCD显示电流与输出电流一致。 电路板上还有一个通讯接口,要加电平转换的,有时间我会作一个上位机软件来实现电源更多功能。 程序是用BASCCOM-AVR写的,我把原程序放上,是为了大家能把它作的更好。 实验用0一20V数控电源,程序升级,具体看源程序注释. 注意下载时把EEPROM存储器文件DC04.EEP也下载到单片机. 升级后程序部分截图:
课 程 设 计 任 务 书 课程设计题目 三位数电容表 功能 技术指标 设计一个电路简洁、精度高及测量范围宽的电容表,将待测电容的电容显示到数码管,可显示 三位数字 工作量 适 工作计划 3月8日 查资料,分析原理 3月9日 画原理图,列元器件表 3月11日 购买元器件 3月12日 安装电路 3月14日 电路调试 3月19日 结题验收 3月20日 撰写说明书 3月25日 交说明书并准备答辩 3月26日 答辩 指导教师评语 指导教师: 2010年3月 23日 目录 第1章 绪论 1 1.1设计要 1 1.2 设计功能 1 第2章 电路的方框图 2 2.1 电路的方框图 2 第3章 单元电路设计和参数计算 3 3.1 单元电路设计 3 3.1.1 双时基电路 3 3.1.2 控制电路 5 3.1.3 计数电路 5 3.1.4 译码电路 7 3.1.5 显示电路 8 3.1.6 8550型号三极管 9 3.1.7 电容的作用 9 3.2 参数计算 11 第4章 整机电路的工作原理 13 4.1 三位数字电容表原理图 13 4.2 电路工作原理 13 第5章 电路的组装与调试 15 5.1合理布局 15 5.2调试 15 结论 16 收获与体会 17 致谢 18 参考文献 19 附录1 元器件清单 20 第1章 绪论 课程设计是运用自己所学的数字电子技术、模拟电子技术知识,根据老师所给课程设计题目,自行分组(每组3-4人)来设计、搭接、调试电路,使其实现所给题目要的功能、量化指标等参数,三周内上交电路,老师通过对电路的完成情况、出勤情况、说明书制作情况以及课程设计答辩情况对每位同学进行评分。 1.1设计要 1.被测电容范围:1PF-10000uF; 2.测试误差<10%; 3.电容用三位数码管显示。 1.2 设计功能 设计一个电路简洁、精度高及测量范围宽的电容表,将待测电容的电容显示到数码管可显示三位数。 实际上就是,待测电容容量时间转换器将待测电容的容量转换成与其成正比的单稳态时间。闸门控制器的开通时间及为单稳时间。当闸门控制器开通,由基准脉冲发生器产生的标准计数脉冲被输入到计数器计数,然后再通过译码器对其译码,使BCD码转换成十进制数字笔段码,最后在共阴极数码管上直接显示测量结果。 第2章 电路的方框图 2.1 电路的方框图 三位数字电容表是由基准脉冲发生器、待测电容容量时间转换器、闸门控制器、计数器、译码器及显示器等组成,其方框图如图2-1所示。 基准脉冲发生器是用来产生标准的计数脉冲。待测电容容量时间转换器是把待测电容的容量转换成与容量成正比的单稳态时间td。闸门控制器的开通时间就是单稳态时间td。在to时间内,计数脉冲通过闸门被送往计数器计数,计数的多少直接反映出被测电容的容量。 图2-1 三位数字电容表电路结构框图 第3章 单元电路设计和参数计算 3.1 单元电路设计 3.1.1 双时基电路 双时基电路主要由NE556组成,其芯片引脚与外围电路如图3-1所示。 图3-1 NE556芯片管脚图 由于NE556是两个NE555组成。故这里只简单介绍一下NE555,见图3-2所示。 图3-2 NE555内部结构图 NE555是属于555系列的计时IC的其的一种型号,555系列IC的接脚功能及运用都是相容的,只是型号不同的因其价格不同其稳定度、省电、可产生的振荡频率也不大相同;而555是一个用途很广且相当普遍的计时IC,只需少数的电阻和电容,便可产生数位电路所需的各种不同频率之脉冲信号。 NE555具体如下的特点: 只需简单的电阻器、电容器,即可完成特定的振荡延时作用。其延时范围极广,可由几微秒至几小时之久。 它的操作电源电压范围极大,可与TTL,CMOS等逻辑电路配合,也就是它的输出准位及输入触发准位,均能与这些逻辑系列的高、低态组合。 其输出端的供给电流大,可直接推动多种自动控制的负载。 它的计时精确度高、温度稳定度佳,且价格便宜。 NE555内部功能框图如图3-3所示。其功能说明如下: 图3-3 NE555内部功能框图 Pin 1 (接地) -地线(或共同接地) ,通常被连接到电路共同接地。 Pin 2 (触发点) -这个脚位是触发NE555使其启动它的时间周期。触发信号上缘电压须大于2/3 VCC,下缘须低于1/3 VCC 。 Pin 3 (输出) -当时间周期开始555的输出输出脚位,移至比电源电压少1.7伏的高电位。周
修数字逻辑与数字电路课程时的一些实验报告 《数字逻辑与数字电路》实验指导 实验1.Verilog HDL输入方式组合电路的设计 多路选择器和三人表决电路的设计 (1) 实验目的:进一步熟悉Quartus II的Verilog HDL文本设计流程,组合电路的设计仿真和硬件测试。 (2) 实验内容1、多路选择器的设计: 根据教材5.1节的流程,利用Quartus II完成2选1多路选择器的文本编辑输入(MUX21.v)和仿真测试等步骤,给出仿真波形。 在实验系统上硬件测试,验证此设计的功能。对于引脚锁定以及硬件下载测试,a和b分别接来自不同的时钟;输出信号接蜂鸣器。最后进行编译、下载和硬件测试实验(通过选择键1,控制s,可使蜂鸣器输出不同音调)。 (4) 实验内容2、三人表决电路的设计: 根据教材5.1节的流程,利用Quartus II完成三人表决电路的文本编辑输入(图5-36)和仿真测试等步骤,给出仿真波形。 在实验系统上硬件测试,验证此设计的功能。对于引脚锁定以及硬件下载测试,ABC[2..0]分别接自键3、键2、键1;CLK接自时钟CLOCK0(256Hz),输出信号X接D1,输出信号Y接蜂鸣器。最后进行编译、下载和硬件测试实验(通过按下键3、键2、键1,控制D1的亮灭)。 (5) 实验报告:根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。参考ppt实验指导课件。 实验2.原理图输入方式全加器设计 (1) 实验目的:熟悉利用Quartus II的原理图输入方法设计简单组合电路,掌握层次化设计的方法,并通过一个8位全加器的设计把握文本和原理图输入方式设计的详细流程。 (2) 实验原理:一个8位全加器可以由8个1位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的最低进位输入信号cin相接。 (3) 实验内容1:按照教材4.6节完成半加器和1位全加器的设计,包括用文本或原理图输入,编译、综合、适配、仿真、实验板上的硬件测试,并将此全加器电路设置成一个元件符号入库。 (4) 实验内容2:建立一个更高层次的原理图或文本设计,利用以上获得的1位全加器构成8位全加器,并完成编译、综合、适配、仿真和硬件测试。 (5) 实验报告:根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。参考ppt实验指导课件。 实验3.7段数码显示译码器设计 (1) 实验目的:进一步熟悉Quartus II的Verilog HDL文本设计流程,组合电路的设计仿真和硬件测试。熟悉利用Quartus II的原理图输入方法设计简单组合电路,掌握层次化设计的方法,把握文本和原理图输入方式设计的详细流程。 (2) 实验原理:7段数码显示译码器设计采用case语句对数码管的七个段分别进行赋0或1,实现数字的显示;使用if-else语句设计模16计数器。 (3) 实验内容1:使用VerilogHDL语言设计一个7段数码显示译码器并进行仿真及下载。 (4) 实验内容2:使用VerilogHDL语言设计一个模16计数器,含计数使能端(en)与异步清零端(clr),当en为高电平时开始计数,为低电平时停止计数,将计数器与7段数码显示译码器使用原理图进行连接,并仿真及下载。 (5) 实验报告:根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。参考ppt实验指导课件。 原理工程图: 实验4.基于LPM宏模块的计数器设计 (1) 实验目的:初步掌握Quartus II基于LPM宏模块的设计流程与方法并由此引出基于LPM模块的许多其他实用数字系统的自动设计技术。 (2) 实验内容: 根据教材175页8.5节的流程,利用Quartus II完成基于LPM宏模块的计数器设计编辑和仿真测试等步骤,给出仿真波形。 在实验系统上硬件测试,验证此设计的功能并进行解说。对于引脚锁定以及硬件下载测试。 (3) 实验报告:根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。 实验5.序列检测器状态机设计 (1) 实验目的:用状态机实现序列检测器的设计,了解一般状态机的设计与应用。 (2) 实验原理:序列检测器可用于检测一组或多组由二进制吗组成的脉冲序列信号,当序列检测器连接收到一组串行二进制码后,如果这组码与检测器预先设置的码相同,则输出1,否则输出0。 (3) 实验内容1:按照教材180页8.7节的流程,利用Quartus II完成序列检测器状态机的设计编辑和仿真测试等步骤,给出仿真波形,了解控制信号的时序,最后进行引脚锁定并完成硬件测试实验。 建议选择电路模式8,用键8(PIO8)控制复位信号CLR;键6(PIO9)控制状态机工作时钟CLK;将8位待检测预置数由键4/键3作为外部输入,待检测串行序列数输入DS接PIO10(左移,最高位在前);指示输出DC接PIO43~PIO40(显示于数码管7), 现态码输出C接PIO47~PIO44(显示于数码管8)。下载后:①按实验板“系统复位”键;②用键2和键1输入2位十六进制待测序列数“11100101”;③按键8复位(平时数码7指示显“0”);④按键6(CLK) 8次,这时若串行输入的8位二进制序列码(显示于数码2/1和发光管D8~D0)与预置码“11100101”相同,则数码7应从原来的0变成1,表示序列检测正确,否则仍为0。 (5) 实验报告:根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。参考ppt实验指导课件。 实验6.数字频率计 (1) 实验目的:设计6位10进制频率计,学习较复杂的数字系统设计方法。 (2) 实验原理:数字频率计由三大部分组成。3个CNT10模块分别是双十进制计数器模块,负责对被测频率信号进行计数;3个74374被用做输出数据的锁存器,锁存输出的数据,即所测频率通过外接的数码管显示;TF_CTRL模块是此频率计的测频时序控制模块,由它发出ENB, LOCK, CLR三个控制信号,分别控制计数器的计数使能,锁存器的锁存控制,以及计数器的清零。 根据频率的定义和频率测量的基本原理,测定信号的频率必须有一个脉宽为1秒的输入信号脉冲计数允许的信号;1秒计数结束后,计数被锁入锁存器,计数器清0,为下一测频计数周期作好准备。测频控制信号可以由一个独立的发生器来产生,即TF_CTRL。 设计要是:TF_CTRL的计数使能信号ENB能产生一个1秒脉宽的周期信号,并对频率计的6位十进制计数器CNT10的ENA使能端进行同步控制。当ENB高电平时允许计数;低电平时停止计数,并保持其所计的脉冲数。在停止计数期间,首先需要一个锁存信号LOCK的上跳沿将计数器在前1秒钟的计数锁存进锁存器74374b,并由外部的7段译码器译出,显示计数。设置锁存器的好处是数据显示稳定,不会由于周期性的清0信号而不断闪烁。锁存信号后,必须有一清0信号CLR对计数器进行清零,为下1秒的计数操作作准备。 (3) 实验内容:按照教材181页8.8节的流程,利用Quartus II完成数字频率计的设计编辑和仿真测试等步骤,给出仿真波形。建议选实验电路模式5;6个数码管以10进制形式显示测频输出;待测频率输入F_IN由clock5输入,频率可选32768Hz等;8HZ测频控制信号CLK1HZ可由clock2输入(用跳线选8Hz)。注意,这时6个数码管的测频显示是10进制的。 (4) 实验内容2:将频率计改为8位10进制频率计,注意此设计电路的计数器必须是8个4位的10进制计数器。此外注意在测频速度上给予优化。 (5) 实验报告:根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。参考ppt实验指导课件。 GW48CP+主系统使用说明 第一节 GW48教学实验系统原理与使用介绍 一、GW48系统使用注意事项 (用户必读!!!) a:闲置不用GW48系统时,必须关闭电源!!! b:在实验,当选某种模式后,要按一下右侧的复位键,以使系统进入该结构模式工作。注意此复位键仅对实验系统的监控模块复位,而对目标器件FPGA没有影响,FPGA本身没有复位的概念,上电后即工作,在没有配置前,FPGA的I/O口是随机的,故可以从数码管上看到随机闪动,配置后的I/O口才会有确定的输出电平。 c:换目标芯片时要特别注意,不要插反或插错,也不要带电插拔,确信插对后才能开电源。其它接口都可带电插拔。请特别注意,尽可能不要随意插拔适配板,及实验系统上的其他芯片。 二、GW48系统主板结构与使用方法 以下将详述GW48系列实验系统结构与使用方法,对于这2种型号的不同之处将给予单独指出。该系统的实验电路结构是可控的。即可通过控制接口键,使之改变连接方式以适应不同的实验需要。因而,从物理结构上看,实验板的电路结构是固定的,但其内部的信息流在主控器的控制下,电路结构将发生变化---重配置。这种“多任务重配置”设计方案的目的有3个:1、适应更多的实验与开发项目;2、适应更多的PLD公司的器件;3、适应更多的不同封装的FPGA和CPLD器件。系统板面主要部件及其使用方法说明如下。以下是对GW48系统主板功能块的注释。 (1) “模式选择键”:按动该键能使实验板产生12种不同的实验电路结构。这些结构如第二节的13 张实验电路结构图所示。例如选择了“NO.3”图,须按动系统板上此键,直至数码管“模式指示”数码管显示“3”,于是系统即进入了NO.3 图所示的实验电路结构。 (2) 适配板:这是一块插于主系统板上的目标芯片适配座。对于不同的目标芯片可配不同的适配座。可用的目标芯片包括目前世界上最大的六家FPGA/CPLD厂商几乎所有CPLD、FPGA和所有ispPAC等模拟EDA器件。第七节的表已列出多种芯片对系统板引脚的对应关系,以利在实验时经常查用。 (3) ByteBlasterMV编程配置口:如果要进行独立电子系统开发、应用系统开发、电子设计竞赛等开发实践活动,首先应该将系统板上的目标芯片适配座拔下(对于Cyclone器件不用拔),用配置的10芯编程线将“ByteBlasterMV”口和独立系统上适配板上的10芯口相接,进行在系统编程(如GWDVP-B板),进行调试测试。“ByteBlasterMV”口能对不同公司,不同封装的CPLD/FPGA进行编程下载,也能对isp单片机89S51等进行编程。编程的目标芯片和引脚连线可参考附图1,从而进行二次开发。 (4)ByteBlasterII编程配置口:该口主要用于对Cyclone系列AS模式专用配置器件EPCS4和EPCS1等编程。 附图1 GW48系统电子设计二次开发信号图 (5) 混合工作电压源:系统不必通过切换即可为CPLD/FPGA目标器件提供5V、3.3V、2.5V、1.8V和1.5V工作电源,此电源位置可参考附图1。 (6)并行下载口:此接口通过下载线与微机的打印机口相连。来自PC机的下载控制信号和CPLD/FPGA的目标码将通过此口,完成对目标芯片的编程下载。计算机的并行口通信模式最好设置成“EPP”模式。 (7)键1~键8 :为实验信号控制键,此8个键受“多任务重配置”电路控制,它在每一张电路图的功能及其与主系统的连接方式随模式选择键的选定的模式而变,使用需参照第二节的电路图。 (8)数码管1~8/发光管D1~D16 :受“多任务重配置”电路控制,它们的连线形式也需参照第二节的电路图。 (9)“时钟频率选择
目 录 1 引言 1 2设计原理及要 2 2.1数字电压表的实现原理 2 2.2数字电压表的设计要 2 3软件仿真电路设计 3 3.1设计思路 3 3.2仿真电路图 3 3.3设计过程 4 3.4 AT89C51的功能介绍 4 3.4.1简单概述 4 3.4.2主要功能特性 5 3.4.3 AT89C51的引脚介绍 5 3.5 ADC0808的引脚及功能介绍 7 3.5.1芯片概述 7 3.5.2 引脚简介 8 3.5.3 ADC0808的转换原理 8 3.6 74LS373芯片的引脚及功能 9 3.6.1芯片概述 9 3.6.2引脚介绍 9 3.7 LED数码管的控制显示 9 3.7.1 LED数码管的模型 9 3.7.2 LED数码管的接口简介 10 4系统软件程序的设计 10 4.1 主程序 10 4.2 A/D转换子程序 11 4.3 断显示程序 12 5电压表的调试及性能分析 13 5.1 调试与测试 13 5.2 性能分析 14 6电路仿真图 14 7总结 15 参考文献 16 附录1 源程序 17 附录2 仿真原理电路 23 1 引言 随着微电子技术的不断发展,微处理器芯片的集成程度越来越高,单片机已可以在一 块芯片上同时集成CPU、存储器、定时器/计数电路,这就很容易将计算机技术与测量控 制技术结合,组成智能化测量控制系统。 数字电压表(DigitalVoltmeter)简称DVM,它是采用数字化测量技术,把连续的模 拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。与此同时, 由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新 水平。本章重点介绍单片机A/D 转换器以及由它们构成的基于单片机的数字电压表的工作原目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试 系统等智能化测量领域,示出强大的生命力理。 本设计AT89C51单片机的一种电压测量电路,该电路采用ADC0808一种基于A/D转换电路 ,测量范围直流 0~5V 的4路输入电压,并在四位LED数码管上显示或单路选择显示。测量最小分辨率为0.01 9V,测量误差约为正负0.02V。 2设计原理及要 此次设计思路是利用单片机AT89C51与ADC0808设计一个数字电压表,测量0-5V之间 的直流电压,然后通过四位数码显示,为了设计简单化我使用的元器件数目较少。 2.1数字电压表的实现原理 ADC0808是8位的A/D转换器。当输入电压为5.00V时,输出的数据为255(0FFH), 因此最大分辨率为0.0196(5/255)。ADC0808具有8路模拟量输入端口,通过3位地址输 入端能从8路选择一路进行转换。如每隔一段时间依次轮流改变3位地址输入端的地址 ,就能依次对8 路输入电压进行测量。LED数码管显示采用软件译码动态显示。通过按键选择可对8路循 环显示,也可单路显示,单路显示可通过按键选择显示的通道数。 2.2数字电压表的设计要 可以测量0~5V范围内的3路直流电压。在4位LED数码管上轮流显示各路电压或单 路选择显示,其3位LED数码管显示电压,显示范围为0.00V~5.00V,1位LED数码管 显示路数,3路分别为0-2。要测量的最小分辨率为0.02V。 3软件仿真电路设计 3.1设计思路 多路数字电压表应用系统硬件电路由单片机、A/D转换器、数码管显示电路和按键处 理电路组成,由于ADC0808在进行A/D转换时需要有CLK信号,本试验ADC0808的CLK直接 由外部电源提供为500kHz的方波。由于ADC0808的参考电压VREF=VCC,所以转换之后的 数据要经过数据处理,在数码管上显示出电压。实际显示的电压(D/256*VREF) ADC0808采用逐次逼近法转换,把模拟电压转换成16进制的D,由于是对直流电压0~5V进 行采集,所以D对应的电压为V0 ,我们的目的就是要把V0显示在LED显示器上,因为单片 机不好进行小数点计算,所以有:V0=2*D扩大了100倍,扩大100倍后的结果高八位放寄 存器B,低八位放寄存器A,分寄存器B为0或不为0的情况进行存取数据,得到的结果个位 放入R0,十位放入R1,通过查表使之显示在LED显示器。 3.2仿真电路图 用Protues软件仿真设计的电路如图3-1所示。 图3-1 仿真电路 3.3设计过程 简易数字电压测量电路由A/D转换、数据处理及显示控制等组成。电路原理图见附录 2。A/D转换由集成电路0808完成。0808具有8路模拟输入端口,地址(23- 25)脚可决定对哪路模拟输入作A/D转换,22脚为地址锁存控制,当输入为高电平时,对 地址信号进行锁存。6脚为

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值