Ubuntu 20.04 安装宋体

环境:

        ubuntu 20.04,英文环境,但已经安装中文包

检查ubuntu中安装的中文字体

命令:fc-list :lang=zh

检查ubuntu中安装的所有字体

命令:fc-list

宋体下载:Simsun Font - Free Fonts

网盘分享:链接: https://pan.baidu.com/s/12fSpgkUWuWsh-OU32q1WCA 提取码: wpia

或者从win10上拷贝,但是拷贝的好像是ttc格式(包含了多种宋体?),上面链接下载的是ttf格式。

TTC (TrueType Collection) 和 TTF (TrueType Font) 都是 TrueType 字体格式,它们的主要区别在于:

1. 文件结构:TTF 文件仅包含一个字体,而 TTC 文件可以包含多个字体。TTC 文件通常用于存储同一字体的多个变体(例如不同的字重、斜体等),以便更有效地管理字体。

2. 文件大小:由于 TTC 文件可以包含多个字体,因此通常比 TTF 文件大。

3. 兼容性:TTF 格式在所有操作系统和应用程序中都得到支持,而 TTC 格式可能需要特定的应用程序或操作系统才能使用。

总的来说,TTF 文件适合单个字体的存储和使用,而 TTC 文件适合包含多个变体的字体。

下载后先解压,得到simsun.ttf文件.

进入  /usr/share/fonts/truetype  目录,创建文件夹,我这里创建的是win文件夹,进入该目录

将simsun.ttf拷贝进该目录下

执行下面指令

sudo mkfontscale
sudo mkfontdir
sudo fc-cache -fv

安装后重启相关程序即可

### 正确实例化和调用 Verilog 模块的方法 在 Verilog 中,模块的实例化是一个重要的概念。它指的是将已定义好的模块嵌入到其他模块中以实现特定功能的过程。需要注意的是,在 Verilog 的术语体系中,“调用”并不适用于描述这一过程;更准确的说法是“实例化”。以下是关于如何正确实例化一个模块的关键点: #### 1. 基本语法结构 当需要在一个模块内部使用另一个已经定义好的模块时,可以通过以下方法完成实例化操作: ```verilog // 定义目标模块(假设为adder) module adder ( input wire a, input wire b, input wire cin, output reg s, output reg cout ); always @(*) begin {cout, s} = a + b + cin; end endmodule // 实例化该模块 module top_module(); wire a, b, cin, s, cout; // 使用端口位置匹配法进行实例化 adder instance_name (.a(a), .b(b), .cin(cin), .s(s), .cout(cout)); initial begin // 测试代码省略... end endmodule ``` 上述代码展示了如何通过显式的端口名称绑定来实例化 `adder` 模块[^1]。 #### 2. 端口连接方式 Verilog 提供两种主要的方式来进行端口连接: - **基于位置的连接**:按照声明顺序依次对应参数。 - **基于名字的连接**:明确指定每个实际参数对应的形参名称。 推荐采用后者,因为它更加直观且易于维护。例如: ```verilog adder u_adder_instance ( .a(top_a), .b(top_b), .cin(top_cin), .s(top_s), .cout(top_cout) ); ``` 这种方式不仅提高了可读性,还减少了因错误排列而导致的功能异常风险[^5]。 #### 3. 复杂场景下的应用——Generate For 循环 如果存在大量相同类型的组件需要被集成进来,则可以利用 `generate-for` 结构简化书写流程。下面的例子演示了创建 N 个独立工作的加法器的情况: ```verilog genvar i; generate for(i=0;i<N;i=i+1)begin : ADDER_GEN adder u_adders( .a(data_in[i][0]), .b(data_in[i][1]), .cin(0), .s(sum_out[i]), .cout() ); end endgenerate ``` 这里运用到了 `genvar` 类型变量作为循环计数器,并借助 `generate...endgenerate` 构造实现了动态生成多个同类型实例的目的[^2]。 #### 总结 综上所述,理解并掌握 Verilog 中模块实例化的技巧对于构建复杂的数字系统至关重要。无论是基础的一对一映射还是高级别的批量部署方案,都需要严格遵循相应的语法规则以确保最终设计能够正常运行。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值