自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(2)
  • 收藏
  • 关注

原创 Verilog 入门(2)

1.编译指令1.1 'ifdef,'else,'endif,使用方法类似于C语言中的if结构1.2 'includen,'include的编译器指令用于嵌入内嵌文件的内容,文件可以用路径名来定义举例如下:'include "c/fpga/flow_led.v",则这一行由文件“flow_led”代替1.3 'restall,该指令将所有的编译指令重新设置为缺省值1.4 'timescale,用于定义时延单位和时延精度,timescale会影响这一指令之后的所有模块中的时延情况,直到遇到’tim

2021-03-02 13:28:21 1272

原创 Verilog HDL 入门(1)

本人小白,用这篇博客记录学习内容。1.模块定义一般格式module 模块名(端口1 2 3 ……);//模块唯一标识符端口类型的说明(input or output or inout)//inout 表示了可以有双向端口参数定义,数据类型定义(wire,reg等);连续赋值语句(assign);过程块结构(initial(一次) and always(多次))行为描述语句;endmodule...

2020-07-09 17:29:24 645

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除