三段式状态机模板。

“三段式”状态机的Verilog HDL 代码模板如下:
//状态调转
always @(posedge clk) begin
if (!rst_n)
 state <= idle;
else
 state <= next_state;
end
//下一状态的计算
always @(*) begin         ///原本的always @(state) begin,发现老是调不通,状态不跳转,把state改成*后 就行了。
  case(state)              ///原因是三个always是分别独立的,上一个state的变化没有触发,这个always的执行,所以用* 
   s0: next_state = ;   //触发always里的状态变化
   s1: next_state = ;
   ...
   endcase
   end
//输出逻辑的处理
always @(posedge clk) begin
   case(state)
   s0: begin
   out1 <= ;
   out2 <= ;
   ...
   end
   s1: begin
   out1 <= ;
   out2 <= ;
   ...
   end
   ...
   end
   end
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值