自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(14)
  • 问答 (2)
  • 收藏
  • 关注

原创 傅里叶级数和傅里叶变换

函数分解为三角函数。(傅里叶变换从零到信号处理:数据建模—>函数—>三角函数)条件:简单来说就是有界有限…具体就是什么有限间断点什么的实数域复数域再到傅里叶变换(非周期函数)和傅里叶逆变换。欢迎一起交流学习,如有错误之处,还请各位指正。参考资料[1]参考视频。

2024-05-16 20:21:08 597

原创 无源蜂鸣器 verilog FPGA 基础练习9

无源蜂鸣器的练习,就是对计数器和状态机练习的变种,学会用计数器和状态机的思想来设计。核心思想:理清楚设计思路,从到推的角度来分层次设计和功能来设计欢迎一起交流学习,如有错误之处,还请各位指正。

2023-12-25 23:15:40 1233 1

原创 可乐售卖状态机 verilog FPGA 基础练习4.1

状态机其核心就是状态的转换,由以下几个要点。在理解一下几个要素之后就明白状态机设计的核心思维了输入输入后得到的状态该状态对应的输出以上就是简单状态机的实现

2023-12-14 00:49:21 546 1

原创 呼吸灯 verilog FPGA 基础练习8

呼吸灯的练习的主要目的是对计数器使用的进阶,理解计数器计数使用的基础时间单位的变化,对计数器的影响。

2023-12-10 20:08:45 1653 1

原创 流水灯 verilog FPGA 基础练习7

流水灯也是对计数器和计数器的使用的一个练习。很简单的一个功能,主要是熟练对一下两个点计数器计数器和flag的使用核心思想:

2023-12-09 23:33:06 515

原创 数据类型 systemverilog语法 UVM

数据类型是任何编程语言的重中之重,任何编程不管是verilog、systemverilog、c、c++还是python等等,都是对数据的搬运和处理。只有掌握好数据是什么样的,才能进行更好的实现我们需要的功能。

2023-12-07 00:34:59 1006 1

原创 LED控制 verilog FPGA 基础练习6

借用LED灯的开关切换控制,主要来联系如何通过上升沿或者下降沿来进行特定的操作。核心就是通过打拍进行边沿的判断。核心思想:判定条件是上升沿或者下降沿才进行的某个特定的操作。

2023-12-05 22:46:15 474

原创 按键消抖 verilog FPGA 基础练习5

按键消的的练习主要是对计数器使用的一个巩固,核心就是任何一个需要使用计数器的地方,先建立一个always语句去计数,然后根据条件去判断什么时候清零,什么时候保持等等功能。

2023-12-05 21:38:00 525

原创 偶分频和奇分频 FPGA verilog 基础练习4

分频器的练习就是计数器的一个应用分支,用设立来检验自己对计数器的使用使用熟练。真实上板代码,都是使用IP核来进行的。清零条件递增条件核心思想:明白如何使用计数器来实现特定的功能,

2023-12-03 22:33:59 887 1

原创 计数器 verilog FPGA 基础练习3

RTL语言中计数器是相当重要的。可以说计数器,是FPGA实现各种功能的灵魂,让时间变得有了意义。

2023-12-02 23:32:27 816 1

原创 第一章 通信原理概述

本文旨在记录《深入浅出通信原理》这本书的学过程。如何实现跨具体传输?手段有哪些?如何实现的?通信系统的分类有哪些?基本模型是什么?通信中信号的预处理过程有哪些?为什么要预处理之后才能发送?信道又是怎么一回事?有了电磁波传输之后,如何提高传输的质量和效率?

2023-12-02 19:12:13 1343 1

原创 避免latch verilog FPGA 基础练习2

本文由如何避免latch的问题场景,来更详细的描述verilog中的组合逻辑电路和时序逻辑电路等等理论知识。由latch这个问题入手来阐述更多理论知识,有助于更好的理解和记忆。核心思想:在抛出latch这个问题的情况下,去理解一些基础的理论概念,大致理解就行。知道在编写代码的时候,如何去避免latch。

2023-12-02 00:22:15 1032

原创 半加器和全加器 verilog FPGA 基础练习1

对于半加器和全加器的练习,根本目的在于理解自底向上(Bottom-Up)的设计方法和自顶向下(Top- Down)的设计方法,既学会堆积木。

2023-11-30 22:42:03 793

原创 38译码器 verilog FPGA 基础练习0

译码器是可以将输入二进制代码的状态翻译成输出信号,以表示其原来含义的电路。核心思想:如果能够明白在不同场景下,能够用尽可能少的bit位来控制多bit位的数据,那么就完成思想上的升华。输入数据预处理(通过拼接符“{}”)、数据映射(通过条件选择语句进行3-8译码映射)、输出。

2023-11-29 23:44:04 532 1

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除