自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(2)
  • 资源 (2)
  • 收藏
  • 关注

原创 初识FPGA:各种RTL小型电路模块的设计

一、4选1多路选择器1、实现代码举例module top(out,in0,in1,in2,in3,sel);parameter wl=2; Output out;input  in0,in1,in2,in3;input [wl-1:0]  sel;reg  out;always @(in0 or in1 or in2 or in3 or sel) //敏感信号列表...

2018-11-11 12:10:28 4508

原创 初识FPGA:用FPGA进行简单74138、74161电路设计

一、用2片3-8 译码器拼接成4-16 译码器1、3-8译码器功能表       2、实现原理图 采用两个3-8译码器上片为高八位,下片低八位。输入为INA、INB、INC、IND(由低到高位),EN=0。IND=0时,下片工作;IND=1时,上片工作;3、仿真波形图通过上述的仿真波形可以看到, 该流程中的仿真, 可以看到组合逻辑延迟造成的竞争与冒险。二、用...

2018-10-26 09:28:23 5726

MATLAB数值计算 课后答案

MATLAB数值计算 课后答案 2013修订版 中译本【美】Cleve B.Moler 著

2018-07-05

MATLAB数值计算 书本例题

MATLAB数值计算 书本例题 2013修订版 中译本 【美】cleve B.Moler 著

2018-07-05

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除