自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

ShiAokai

“让键盘响,让思绪飞,,,”

  • 博客(63)
  • 收藏
  • 关注

转载 Welcome to use CSDN-markdown编辑器

欢迎使用Markdown编辑器写博客本Markdown编辑器使用StackEdit修改而来,用它写博客,将会带来全新的体验哦:Markdown和扩展Markdown简洁的语法代码块高亮图片链接和图片上传LaTex数学公式UML序列图和流程图离线写博客导入导出Markdown文件丰富的快捷键快捷键加粗 Ctrl + B 斜体 Ctrl + I

2018-09-15 19:54:43 223

原创 装修笔记--图片横向排版测试

装修笔记--横向排版测试墙柜设计主卧房间尺寸风格精选童卧次卧客卧客厅阳台排版示例有道自己图标方案一:利用Html的table标签方案二:利用Html的center标签欢迎使用Markdown编辑器新的改变功能快捷键合理的创建标题,有助于目录的生成如何改变文本的样式插入链接与图片如何插入一段漂亮的代码片生成一个适合你的列表创建一个表格设定内容居中、居左、居右SmartyPants创建一个自定义列表如何创建一个注脚注释也是必不可少的KaTeX数学公式新的甘特图功能,丰富你的文章UML 图表FLowchart流程

2022-10-07 19:55:55 362 1

原创 OneDrive出现Application Failed to start because no QT Platform could be initialized

系统是win10,启动OneDrive提示“Application Failed to start because no QT Platform could be initialized” balabala的信息

2022-01-23 19:39:38 2522

原创 Linux执行csh脚本出现 0: Event not found.

Linux执行csh脚本出现 0: Event not found. 解决方案

2022-01-21 00:21:41 2592

原创 Pyqt5 布局和滚动条 学习笔记

一、效果展示(1)界面较大时,显示所东西 (2)界面缩放时,自动出现卷轴 二、代码解析1、窗口的布局实现# ... # 1 例化一个widgets, 作为窗口的主要显示内容 self.centralWidget = QtWidgets.QWidget(MainWindow) self.centralWidget.setObjectName("centralWidget") # 2 ...

2020-10-11 23:57:34 3373

原创 SystemVerilog生成随机矩阵

SystemVerilog生成随机矩阵:// 1.first part: a systemvrilog program block. (this part can be omitted)class hello_str; string str = "Hello world";endclassclass hello_martix; rand reg[(4+6):0] m[16][16]; reg[10:0] col_sum[16]; function void

2020-09-15 23:14:07 506

原创 看看汽车

大众 朗逸别克 英朗通用 科鲁泽

2020-08-10 22:42:58 3867

原创 Python库 Tkinter

Python GUI之tkinter窗口视窗教程: https://blog.csdn.net/ahilll/article/details/81531587

2020-08-05 22:56:50 147

原创 Gvim入门05篇 我的在用设置

cab svc source ~/.vim/my_set_linux.vimcab gvc e ~/.vim/my_set_linux.vim"cab cdv lcd C:/Program Files (x86)/Vim"----------------------------------------gui set-------------------set fileencodings=utf-8,gbk,gb2312,chinese,latin.

2020-07-29 21:13:06 231

原创 SV_LAB学习05篇 LAB5

一、验证框架在本章节将建立如所示框架,gen、send、recv等都将封装到类里。二、测试代码 test.sv2.1 包含类文件据说变量run_for_n_packets 是一个全局变量。program automatic test(router_io.TB rtr_io); `include "Packet.sv" int run_for_n_pac...

2019-08-17 22:17:58 858

原创 SV_LAB学习04篇 LAB4

已经到了LAB4将加入类的概念。相比于之前,这个开始 task gen() 中生成随机数的任务放到类里面完成。一、验证框架二、测试代码 test.sv2.1 定义发送变量这里引入一个“Packet.sv”,这个代码在后面解释。 `include "Packet.sv" int run_for_n_packets; // number of packe...

2019-08-16 01:39:02 1138

原创 SV_LAB学习03篇 LAB3

一、验证框架到LAB3,加入一个收集机制,将从dut的输出,收集回来。二、测试代码 test.sv2.1 定义发送参数比LAB2多了一个收集DUT输出用于比较的队列。 int run_for_n_packets; // number of packets to test bit[3:0] sa; // sou...

2019-08-15 18:31:36 982 1

原创 SV_LAB学习02篇 LAB2

一、顶层代码(1) 接口Interface代码router_io.sv 与LAB1保持一致。(2) 顶层代码 router_test_top.sv 与LAB1保持一致。后篇同理,将不在赘述,快速传送链接https://blog.csdn.net/ShiAokai/article/details/99639366二、驱动代码 test.sv2.1 定义发送参数 ...

2019-08-15 17:52:54 895

原创 SV_LAB学习01篇 LAB1

最近需要学习SystemVerilog,入门是SV_LAB。因此在EETOP搜集了一些学习资料。相关资料 SV_LAB、SV_TestbenchGuider、SV_LABGuider,是可以在EETOP搜索。快捷跳转如下:(1)2016最新Synopsys 官方Labhttp://bbs.eetop.cn/thread-614054-1-1.html(2)SystemVeril...

2019-08-15 17:19:45 2692 2

原创 Gvim入门03篇 普通模式

这个模式可以说是Gvim强大之处的一个很好体现了。什么跳转、搜索、替换、复制、粘贴、等等、等等,都是在这个模式下完成的。关于一些神奇的操作,后边分功能单独介绍。这里先介绍最基础实用的功能。一、光标移动作为使用,用上下左右键就完成光标的移动。但是Gvim更强大的在于它快速的跳转功能,之所以一定要介绍不仅是用于字符跳转,还在于使用删除或复制命令时,可以通过加上不同的跳转指令,实现快速的操作...

2019-08-04 23:35:20 424

原创 Gvim入门02篇 插入模式

“不做工具书,只写最适用的功能。”

2019-07-28 21:32:55 566

原创 Gvim入门01篇 givm的认识

“不做工具书,只写你最关心的功能”。本章节主要有Gvim的配置文件介绍,与Gvim的复制粘结。

2019-07-28 19:52:49 1404

原创 强化学习实践笔记01篇 实现策略网络

文章目录一、环境简介1.1 Gym环境介绍1.2 导入必要的库(代码片01)二、策略网络2.1 策略网络参数定义(代码片02)2.2 策略网络结构定义(代码片03)三、损失函数3.1 获取可训练参数(代码片04)3.2 定义虚拟Label及损失函数(代码片05)四、优化器选择与参数更新4.1 选择模型优化器(代码片06)4.2 定义使用优化器的参数更新操作(代码片07)五、强化学习控制参数5.1 ...

2019-03-26 15:30:27 497

原创 Linux(Centos7)中安装Questasim10.4一些问题的解决

问题列表1. 关于 libstdc++.so.5错误:“error while loading shared libraries: libstdc++.so.5”解决:将网上下载或者crack文件自带的libstdc++.so.5拷贝到/usr/lib,sudo cp libstdc++.so.5 /usr/lib或者,如果查看/usr/lib有libstdc++.so.6等等,也...

2018-12-20 16:17:08 4424 1

原创 SublimeText3添加自定义的编译系统(Build Systems)

文章目录一、SublimeText3配置编译SystemVerilog1.1 编译工具准备1.2 在SublimeText中新建编译系统1.3 为编译配置添加sv的仿真指令1.4 文件SystemVerliog.sublime-build的参数说明1.4.1 working_dir1.4.2 file_regex、shell1.4.3 `path`1.4.4 `env`1.4.5 `cmd`二、一...

2018-10-29 19:55:16 14749 1

原创 Linux (Ubuntu) 下设置VScode调用questsim编译SystemVerilog

文章目录一. 了解文件夹`.vscode`1.1 文件 `setting.json`1.2 文件 `launch.json`1.3 文件 `tasks.json`说明:文章内容来源于自己的使用过程,非官方对文件的说明。一. 了解文件夹.vscode文件夹.vscode位于工作目录下,其中主要有launch.json、setting.json、tasks.json三个设置文件1.1 文件...

2018-10-29 15:28:38 1005

原创 Git使用学习

Git开始Git

2018-10-20 17:27:13 631

原创 《沉默的云》.读书笔记(四)

 -----------作者:游运  ------- 读者:史傲凯----- ---读诗笔记----《沉默的云》.舞台魔方  辑-------- 1、    她在雨中行走    一双眼睛在她身后    她不想躲雨    因为她正经受生活的风雨     一把伞从她背后撑起     雨越来越大    大得有点害怕    可雨不湿她的衣衫 ...

2018-10-20 09:58:04 198

原创 win10下ISE连接出现iMPACT:Can not find cable, check cable setup

首先说明一下, 出现这个问题原因是很多的, 我一开始也在网上搜解决方案, 但是并不完全对症, 只能参考并见机行事. 如果你也不幸遇到了这个问题, 先安慰一句, 兄弟不要着急.最惨不过重装.一.事先要会的1.1 win10正常使用64位ISE64位的win10装好ISE14.7,正常情况下启动64位ISE是有问题的(启动32位没问题)。下面说一种我的解决方法,ISE和iMPACT我启动的都是6...

2018-09-27 01:48:10 20352 11

原创 Python笔记篇三 : 文件存储(自看, 更新中)

文章目录一. 文件读取二. 文件系统三. pickle模块一. 文件读取open(file,mode) 打开文件完整语法:open(file, mode='r', buffering=-1, encoding=None, errors=None, newline=None, closefd=True, opener=None)  备注: 缩进shift+space切换到全角字符,输入空...

2018-09-27 00:32:58 186

原创 Pyhon笔记篇二:函数(自看,更新中)

###函数

2018-09-20 18:27:36 177

原创 Python笔记篇一:数组(自看,更新中)

欢迎使用Markdown编辑器写博客本Markdown编辑器使用StackEdit修改而来,用它写博客,将会带来全新的体验哦:Markdown和扩展Markdown简洁的语法代码块高亮图片链接和图片上传LaTex数学公式UML序列图和流程图离线写博客导入导出Markdown文件丰富的快捷键快捷键加粗 Ctrl + B 斜体 Ctrl + I...

2018-09-15 21:18:03 223

原创 windows下用VS code调试C程序之MinGW安装(参考自官网)

准备工作1.1 VScode不累赘了, 官网自行下载.1.2 C的编译环境一般使用MinGW, 先说一种骚操作通过安装Codeblocks的mingw版会自动安装需要的MinGW. 如果你不需要Codeblocks, 在安装后找到MinGW文件夹整个拷贝一份到一个(最好是全英文)目录, 然后就可以卸载Codeblocks了. 话说如果只是为了写C程序, 不是对VS c...

2018-09-15 19:51:42 2352

原创 在Win 10右键菜单添加打开命令行(与powershell共存)

1 在win10自带设置的修改(非必要)虽说Powershell功能可能比cmd强大,但很多时候路径以及一些命令的处理真的不如用cmd方便. 如下图, win10自带设置中只可以将组合键[ Win+X ]的菜单中选项调成cmd, 但在桌面按[ shift+鼠标右键 ]并没有变化. 2 任意文件右键菜单中添加cmd实现任意文件夹[ shift+鼠标右键 ]调用cmd, 这里参考...

2018-08-07 23:21:13 4739

原创 OpenCL与CNN篇四:CNN从入门到使用

记录我从零到实现一个具体CNN网络中最有用的知识干货。以细节为切入点,分享我对CNN网络的简洁。本文致力于让你一篇文章理解CNN的具体实现与训练方法。涉及理论不一一追述背景,主要讲解其如何应用。

2017-06-10 01:08:45 4196

原创 OpenCL与CNN篇三:OpenCL入门及API使用

让了让萌新们更快上手,我直接以实例开始,争取读完就能写下自己第一个OpenCL程序,至于API的学习参考书籍或者上一条为方便查阅,本系列用到的几个API将在篇二给出说明,我先写好的篇三ヾ(o◕∀◕)ノヾ。

2017-06-08 22:05:25 1668

原创 OpenCL与CNN篇二:OpenCL基础API介绍

本篇介绍几个OpenCL基础API,涉及平台、设备等初始化必备函数其次介绍几个关于缓冲区操作以及工作空间划分的API建议阅读参考书籍,我的推荐是《OpenCL编程指南》和《OpenCL异构计算》

2017-06-08 21:58:42 5118 2

原创 OpenCL与CNN篇一:搭建在VS的OpenCL运行环境

关于OpenCL的VS2012项目环境配置,显卡是A卡还好AMD有可用的SDK。首先安装AMD APP SDK,百度就好教程很多;

2017-06-07 21:16:47 4822 1

转载 CSDN博客频道支持Windows Live Writer离线写博客

后来软件改名叫:Open Live Writer,再后来我也喜欢上了CSDN的MarkDown编辑器,也就不愿用这个啦。

2017-03-29 18:50:12 729

原创 Win10局域网互传文件-wifi无线共享

PC间无线传送文件,电脑间Wifi无线连接

2016-04-17 21:48:38 32773

原创 ACdream 1415 Important Roads(最短路&缩点&找桥)

ACdream 1415 Important Roads(最短路&缩点&找桥):先 dijkstra()寻找每个点距起点的最短距离,再BFS一遍存一个起点到终点的最短路新图,之后tarjan()缩点,然后找桥。 图论算法大集合啊!!

2015-03-24 19:28:36 566

原创 Codeforces Round #291 (Div. 2) C. Watto and Mechanism

Codeforces Round #291 (Div. 2) C. Watto and Mechanism 备注:只有三个字母,弄个三叉树就好了,,用原有的单词构树,在单词终点树的对应位置标记一下;然后M次查询。

2015-03-23 13:28:32 563

原创 Codeforces Round #296 (Div. 2) B. Error Correct System

Codeforces Round #296 (Div. 2) B. Error Correct System 题:交换一次字母,使得两串中不同的字符最少。

2015-03-20 21:54:49 583

原创 Codeforces Round #287 (Div. 2) C. Guess Your Way Out!

C. Guess Your Way Out!题意:给一个深为h的树(从0层开始),从root以"LRLRLRLR..."的指令顺序,问道最后一层第n个节点需要遍历的节点个数。

2015-01-24 11:35:56 880

原创 Codeforces Round #281 (Div. 2) C. Vasya and Basketball&D. Vasya and Chess

C. Vasya and Basketbal题意:篮球比赛中a队(n个)和b队(m个)的每次投球距离已经记录下来;求一个三分线,使a-b最大的比分a:b;(若有多组输出a最大的)。题解:简简单枚举吧,注意的是压线是取2分的;把数据记录下排序(为的用二分查找),依次枚举;这里要感谢STL的 upper_bound()函数。#define INF 0x7fffffff#define

2015-01-23 18:09:06 955

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除