自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(7)
  • 收藏
  • 关注

原创 2021-06-30

1.实验目的: 使用modelsim进行Modelsim工程仿真流程 2.实验内容: 参照教程中的代码,然后用quartus ii和modlsim并进行Modelsim工程仿真流程,再验证波形图是否正确 3.实验原理:书写和运行代码,完成联合仿真操作 module multiply(Clock, Resetn, LA, LB, s, DataA, DataB,P, Done); parameter n = 8; input Clock, Resetn, LA, LB, s; input [n-1:0]

2021-06-30 20:50:16 94

原创 2021-06-29

1.实验目的: 使用Quartur ii软件和modelsim并进行Modelsim工程仿真流程,掌握有限状态机的写法,理解三段式与两段式的写法和区别 2.实验内容: 参照教程中的代码,然后用quartus ii和modlsim并进行Modelsim工程仿真流程,再验证波形图是否正确 3.实验原理:书写和运行代码,完成联合仿真操作 module s7 (x,z,clk,reset) ; input x,clk,reset; output z; reg z; reg [2: 0] state,nstat

2021-06-29 23:02:00 73

原创 2021-06-27

1.实验目的: 使用Quartur ii软件和modelsim并进行Modelsim工程仿真流程,所示电路为计数器和7段码显示功能,使用Verilog语吉描述为Iatched _Seven_Seg_Display模块,输出为低电平有效。 2.实验内容: 参照教程中的代码,然后用quartus ii和modlsim并进行Modelsim工程仿真流程 3.实验原理:书写和运行代码,完成联合仿真操作 module Latched_Seven_Seg_Display( output reg ...

2021-06-27 20:41:41 117

原创 2021-06-26

1.实验目的: 使用Quartur ii软件和modelsim并进行Modelsim工程仿真流程 2.实验内容: 参照教程中的代码,然后用quartus ii和modlsim并进行Modelsim工程仿真流程 3.实验原理:书写和运行代码,完成联合仿真操作 module shiftrne (R, L, E, w, Clock, Q); parameter n=8; input [n-1:0]R; input L, E, w, Clock; output reg [n-1:0]Q; integer k;

2021-06-26 13:38:39 74

原创 2021-05-28

1.实验目的: 使用Quartur ii软件和modelsim并进行Modelsim工程仿真流程 2.实验内容: 参照今日头条中教程的代码,然后用quartus ii和modlsim并进行Modelsim工程仿真流程 3.实验原理:按照视频上的内容,书写和运行代码,完成联合仿真操作 module fulladd (sum, c_out,a,b,c_in) ; output sum,c_out; input a,b,c_in; wire s1,c1,c2; xor (s1,a,b) ; and (c1,

2021-05-28 17:43:38 47

原创 2021-05-28

1.实验目的: 使用Quartur ii软件和modelsim进行主从D触发器的门级建模 2.实验内容: 参照今日头条中教程的代码,然后用quartus ii和modlsim并进行主从D触发器的门级建模 3.实验原理:按照视频上的内容,书写和运行代码,完成联合仿真操作 module MSDFF (Q , Qbar , D , C ) ; output Q, Qbar ; input D, C; not not1(NotD, D) , not2 (NotC , C) , not3 ( NotY,Y) ; n

2021-05-28 17:40:18 64

原创 2021-05-28

1.实验目的: 使用Quartur ii软件和modelsim并进行Modelsim工程仿真流程 2.实验内容: 参照今日头条中教程的代码,然后用quartus ii和modlsim并进行Modelsim工程仿真流程 3.实验原理:按照视频上的内容,书写和运行代码,完成联合仿真操作 module fulladd (sum, c_out,a,b,c_in) ; output sum,c_out; input a,b,c_in; wire s1,c1,c2; xor (s1,a,b) ; and (c1,

2021-05-28 17:36:02 51

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除