自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(6)
  • 收藏
  • 关注

原创 使用TerosHDL的Format自动完成排版

vscode自动排版

2023-01-07 16:10:13 1462

原创 vivado开发中的Tcl命令与脚本

将以上代码保存为tcl文件,保存在 * .tcl路径下 ( * 代表工程路径)。工程比特流生成完必后,run tcl script,可在*.dbg路径下找到拷贝出来的2个文件。vivado默认generate bitstream的路径为impl文件夹中,需要点很多次路径去找,可使用下脚本代替人工操做,将文件复制到外层工程路径中。整理本人在vivado开发中,学习与使用到的tcl命令和tcl脚本,不定期更新中。使用modelsim联合仿真时,重复拉波形的操做可以避免。

2022-09-16 14:49:28 2242

原创 VSCode 个人配置使用

comic code 有些是免费可下载的,下载地址:Comic Sans Font - Free Downloads | FontSpacehttps://www.fontspace.com/category/comic-sans下载完成后,解压, 将文件名修改得好记一些:将comicsan.ttf文件移入windows/fonts路径下,即可在vscode中修改字体为文件名,效果如下:.........

2022-05-09 15:19:34 1991

原创 同一pc装了两个版本的vivado如何用modelsim仿真

关键在于仿真库,不同版本的vivado ,compile出来的库不同,只要在setting中修改对应版本的库的地址就可以。以本机为例,装了16.4和20.1版本的vivado,modelsim版本为10.6d se。分别compile出各自的库,如下图所示。ini文件只需一个,环境变量只需一个,但库必须跟版本数量一致。...

2022-04-28 20:48:12 1545 2

原创 readmemb的使用

`timescale 1ns / 1psmodule top( ); reg [7:0]mem_b[1:8]; integer i; initial begin $readmemb("C:/Disks/data/day0424/project_1/mem/init_b.txt",mem_b);//注意路径中用的是反斜杠 for(i=1;i<=8;i=i+1) begin $display("memory_b[%12h]=%...

2022-04-26 16:04:36 1334

原创 Fsm serialdp 串行接收+校验位检查

Fsm serialdp - HDLBits (01xz.net)https://hdlbits.01xz.net/wiki/Fsm_serialdp编这段的时候还没形成脑子里有波形图写代码的能力,都是尝试调整时序逼近答案,想着要训练先有图后有码的能力,这种纯靠脑部试出来的不会总靠谱的,应该先画出时序图来。这里学习了一下画时序图的工具Wavedrom,画出了例中的时序,并按照自己的代码,补充了状态变化、计数器以及输出值,便于理解。WaveDrom代码:{signal: [ {name:'c

2022-03-01 14:05:17 372

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除