用VHDL语言实现两个8位std_logic_vector变量相加,然后将和逻辑左移4位,最后由a输出。

LIBRARY IEEE; --4.2
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC.UNSIGNED.ALL;
ENTITY T5 IS
	PORT(c,b : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
		a: OUT STD_LOGIC_VECTOR(8 DOWNTO 0));
END ENTITY T5;
ARCHITECTURE hbv OF T5 IS
 SIGNAL DATA: STD_LOGIC_VECTOR(8 DOWNTO 0);
BEGIN
 PROCESS(c,b) --不考虑进位借位
BEGIN
 DATA <= ('0' & c) + ('0' & b);
 a <= TO_STDLOGIVECTOR(TO_BITVECTOR(DATA) ROL 4); 
 --把std_logic_vector 转换成bit_vector
 END PROCESS;
END ARCHITECTURE hbv;

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值