利用Simulink Test进行模型单元测试 - 1

1.搭建用于测试的简单模型

随手搭建了一个demo模型MilTestModel,模型中不带参数

 2.创建测试框架

1.模型空白处右击 测试框架 > 为‘MilTestModel’创建 菜单

2.在创建测试框架对话框中,点击OK,对应的测试框架MilTestMode_Harness1就自动打开了

 3.创建测试文件和测试架构

1.在HARNESS标签下点击Simulik Test Manager

2.在Simulink Test Manager中,点击New > TestFile打开保存文件对话框

3.输入15-B03作为测试文件的名字,点击OK,测试文件的结构就在Test Browser中自动打开了

 4.右击New Test Case1然后重命名成My Baseline Test

 4.指定模型和测试框架

1.点击My Baseline Test

2.在右边的面板中,展开System Under Test区域,把模型MilTestModel.slx加载进来

3.展开Test Harness区域,刷新测试框架列表,选择一个测试框架MilTestMode_Harness1

5.创建测试输入

1.展开Inputs区域,选择Create选择Exce型的测试并取名,选择Create,就弹出了空白的测试用例表格15-B04

2.写完用例,状态显示Mapped即可

 6.获取基线数据

1.展开BASELINE CRITERIA区域,点击Capture生成一个基线表格取名15-B05,这个EXCEL表格是模型仿真出来的结果,只有OUTPUT列

7.运行测试用例查看结果

1.在Test Browser标签中,选中My Baseline Test,点击Run

2.会显示基线数据输出Baseline和仿真测试数据Sim Output输出,并进行对比

 

  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值