Arduino串口控制DY-SV5W音频播放

以下为DY-SV5W介绍摘抄模块应用手册

1.产品概述

DY-SV5W是本司自主研发的一款智能语音模块,集成IO分段触发,UART串口控制,ONE_line单总线串口控制,标准MP3等7种工作模式,简单拨码开关设置;板载5W D类功放,可直接驱动4Ω,3~5W喇叭;支持MP3,WAV解码格式,最大支持32G TF卡存储,可通过USB数据线连接电脑更新TF卡存储音频文件。

2.产品特性

1、支持MP3、WAV解码格式。

2、支持采样率(KHz):8/11.025/12/16/22.05/24/32/44.1/48。

3、24位DAC输出,动态范围支持90dB,信噪比支持85dB。

4、完全支持FAT16/FAT32文件系统,最大支持32G的TF卡。

5、自带5W D类功放,可直接驱动4Ω,3~5W喇叭。

6、UART串口控制语音播报功能,可控制播放,暂停,选曲,音量加减等功能,最大选曲65535首曲目,波特率9600bit/s。

7、支持IO触发播放功能,8个IO口单独触发8首曲目或8个IO口组合触发255首曲目。

8、支持One_line单总线串口控制,可控制播放,暂停,选曲,音量加减等功能。

9、支持3个配置IO进行多达7种工作模式选择,拨码开关设置简单。

3.模块接口及功能定义

在这里插入图片描述

引脚定义

引脚名称 引脚描述
5V电源正极 接5V电源正极
TXD/IO0 IO触发模式下为输入引脚IO0;UART控制模式下为TX引脚,连接控制端(MCU)的RX
RXD/IO1 IO触发模式下为输入引脚IO1;UART控制模式下为RX引脚,连接控制端(MCU)的TX
IO2 IO触发模式输入引脚IO2
IO3 IO触发模式输入引脚IO3
IO4/ONE_LINE IO触发模式输入引脚IO4;One_Line一线串口控制模式数据接收引脚
IO5 IO触发模式输入引脚IO5
IO6 IO触发模式输入引脚IO6
IO7 IO触发模式输入引脚IO7
BUSY 播放曲目时输出低电平(0V),播放结束输出高电平(3.3V)

IO输入输出特性

IO输入特性
VIL 低电平输入 0 0.8 V
VIH 高电平输入 2.7 3.3 V
IO输出特性
VoL 低电平输出 0 0.33 V

拨码开关模式配置

对应拨码开关上的编号 1 CON1 拨到数字端为低电平(下拉) 拨到ON端为高电平(上拉)

在这里插入图片描述

注:“按键组合播放”是指IO0-IO7输出对应的电平后恢复原来的高电平,类似于按键触发一次

“电平组合播放”是指IO0-IO7输出对应的电平后保持电平不变

“I/O组合(独立)模式0”与“I/O组合(独立)模式1”的区别在于前者模式释放电平后继续播放当前曲目至结束,后者模式释放电平后立即停止播放曲目。

4.模块尺寸

在这里插入图片描述

5 UART串口模式操作说明

5.1 通信格式

采用全双工串口通信;

波特率为9600,数据位:8 ,停止位1位,检验位 N。

起始码-指令类型-数据长度(n)-数据1-数据n࿰

  • 7
    点赞
  • 34
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值