Network UVA - 315 求割点数量

#include <iostream>
#include <cstdio>
#include <cstring>
#include <algorithm>
#include <queue>
#include <cmath>
#include <vector>

using namespace std;

const int MaxM = 1e5;

int n, cnt;
bool f[101][101], mark[101];
int dfn[101], low[101];

void tarjan(int u, int fa)
{
    dfn[u] = low[u] = ++cnt;
    int k = 0;
    for (int i = 1; i <= n; i++)
        if (f[u][i] && i != fa)
        {
            if (!dfn[i])
            {
                tarjan(i, u);
                k++;
                low[u] = min(low[u], low[i]);
                if ((k == 2 && u == 1) || (u != 1 && low[i] >= dfn[u]))
                    mark[u] = true;
            }
            else
                low[u] = min(low[u], dfn[i]);
        }
}

int main()
{
    while (scanf("%d", &n), n)
    {
        int u, v;
        cnt = 0;
        memset(mark, 0, sizeof(mark));
        memset(f, 0, sizeof(f));
        memset(dfn, 0, sizeof(dfn));
        while (scanf("%d", &u), u)
            while (getchar() != '\n')
            {
                scanf("%d", &v);
                f[u][v] = f[v][u] = true;
            }
        tarjan(1, 0);
        int ans = 0;
        for (int i = 1; i <= n; i++)
            if (mark[i])
                ans++;
        printf("%d\n", ans);
    }

    return 0;
}
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值