自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(2)
  • 收藏
  • 关注

原创 C语言自定义源文件

C语言自定义源文件

2024-08-11 15:49:29 321

原创 Error: Syntax error near ‘else‘Error: Verilog 2000 keyword ‘else‘ used in incorrect context

Error: Syntax error near 'else'Error: Verilog 2000 keyword 'else' used in incorrect context.大意:错误指出在使用“else”关键字时上下文不正确。

2024-07-26 09:46:07 281

PYNQ-Z1板卡,XILINX赛灵思,VIVADO,verilog

PYNQ-Z1板卡,XILINX赛灵思,VIVADO,verilog xc7z020clg400-1 xc7z020clg400-2 xc7z020clg400-3 PYNQ-Z1板卡,XILINX赛灵思,VIVADO,verilog xc7z020clg400-1 xc7z020clg400-2 xc7z020clg400-3 PYNQ-Z1板卡,XILINX赛灵思,VIVADO,verilog xc7z020clg400-1 xc7z020clg400-2 xc7z020clg400-3 PYNQ-Z1板卡,XILINX赛灵思,VIVADO,verilog xc7z020clg400-1 xc7z020clg400-2 xc7z020clg400-3

2024-08-13

verilog,vavido,练习题

verilog+vavido+练习题 目录 1、或门 3 2、半加器 4 3、一输入一输出 5 4、三输入四输出 6 5、四位非门 8 6、三人表决器 10

2024-08-11

2024年电子设计大赛,2024年电赛,题目

2024年电子设计大赛,2024年电赛,题目 A题_AC-AC变换电路并联运行 B题_单相功率分析仪 C题_无线传输信号模拟系统 D题_立体货架盘点无人机系统 E题_三子棋游戏装置 F题_磁悬浮实验装置 G题_简易录音屏蔽系统 H题_自动行驶小车

2024-08-02

Vivado,verilog语言,case语句,四选一MUX

这个模块实现了一个基于两个选择信号的4路选择器,能够从四个输入中选择一个并输出。 1. **输入和输出**: - 输入:四个数据输入 \( in1, in2, in3, in4 \) 和两个选择信号 \( s0, s1 \)。 - 输出:一个寄存器输出 \( out \)。 2. **always块**: - 使用敏感列表(`@ (*)`)表示该块在任何输入变化时都会被触发。 3. **case语句**: - 根据选择信号的组合(\( s1, s0 \))来决定输出: - `2'b00`: 输出 \( in1 \) - `2'b01`: 输出 \( in2 \) - `2'b10`: 输出 \( in3 \) - `2'b11`: 输出 \( in4 \) - `default`情况:如果选择信号不在上述范围内,输出为不确定状态(`2'bx`)。

2024-07-26

Johnson-counter(扭环形计数器)

这段代码定义了一个可配置宽度的约翰逊计数器。它使用时钟信号向左移位输出,并将MSB的反相值设置为LSB,同时通过 clr 信号允许重置功能。 实现约翰逊计数器的核心逻辑是:通过每个时钟周期将 qout 的值向左移位,为后续的计数和状态变化奠定基础。

2024-07-26

vivado,LED按键测试,简单便捷

说明: 1、**LED.v**:这是LED模块的实现。当key_down为低电平时,led_on为高电平,反之亦然。 2、**LED_tb.v**:这是测试基准,模拟key_down的变化并监视led_on的输出。 初始状态为高,模拟按键按下和释放。 使用$monitor监视信号变化。 LED_tb.v `timescale 1ns / 1ps module LED_tb; reg key_down; // 输入信号 wire led_on; // 输出信号 // 实例化LED模块 LED uut ( .key_down(key_down), .led_on(led_on) ); initial begin // 测试过程 key_down = 1; // 初始状态 #10; // 等待10ns key_down = 0; // 按下键 #10; //

2024-07-26

模60的8421码计数器

工具:vivado 用verilog语言设计的一个 “ 模60的8421码计数器 ” ,并且进行综合仿真! 说明: 1、**BCD_Counter.v**:这是模60的8421 BCD计数器的实现,包含个位和十位的逻辑。 :计数器在时钟上升沿计数,复位信号有效时清零。 :个位达到9后清零,十位加1;十位达到5后清零。 2、**BCD_Counter_tb.v**:这是测试基准,生成时钟信号并控制复位。 :每10ns生成一个时钟周期。 :监视计数器的输出,显示当前的十位和个位BCD值。

2024-07-26

verilog语言:八位十进制加法器

用verilog语言写一个八位十进制加法器 包含:程序+仿真

2024-07-26

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除