SystemVerilog学习笔记一

SystemVerilog学习笔记一

一、SystemVerilog语法简介

在正式学习SystemVerilog语法之前需要注意两点:

  1. 首先要明确实现什么样的电路,然后再有硬件代码
  2. 不同语法是对电路不同程度的抽象,在实现的时候可以根据实际情况进行选择。

二、常量和变量

在数字电路中,SystemVerilog是硬件描述语言,而每一种电路均可以是二进制。
常量
SystemVerilog的常量与Verilog是比较类似的。

1'b1;
1'b0;
16'habcd;
4'd10;

变量
SystemVerilog使用“logic”进行变量的定义

logic a;
logic [3:0] b;
logic [7:0] [7:0] c;

组合/位绑定

{a,1'b1}
{a,b}		//a和b进行拼接
{16{a}}		//16个a组合

三、运算符

基本运算符

运算符描述举例
&与运算a & b
I或运算a I b
~非运算~a
^异或运算a ^ b
!!a
+,-加运算,减运算a - b
?:条件运算a ? b : c
>>逻辑右移a>>2
>>>算数右移a>>>2
<<左移a<<1
*,/,%乘运算,除运算,取模运算尽量少用
<,<=,!=,>,>=,==比较运算a == b

缩位运算符

运算符描述举例
&向量与所有位相与运算&b[x-1:0]
I向量与所有位相或运算Ia[x-1:0]

四、赋值语句

格式:variable = expression
说明:

  1. 等号左边的variable,可以是单个变量,也可以是{a,b},但不能有常量或运算符
  2. 等号右边的expression,可以是单个变量或常量,也可以是{a+b,1’b1},但要注意位数
a=1;				//未标明位宽,系统自动识别为32bit,即a=32'b1
b=a;
c={a,b,a-b}
{d,e}={16{b},a,b}

五、电路语句

以上说明只是对systemverilog的基础语法进行了介绍,但不是正真的电路语句,后续会继续进行说明和学习。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值