自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(1)
  • 收藏
  • 关注

原创 基于FPGA的AD7380高速采样SPI接口

根据手册可以知道SCLK的最大时钟可以为80M,由于项目需要不用太大,所以就没有全速率采样,满足项目需求即可。由时序图可以看出,在SCLK的时钟下降沿,向ADC写入SDI数据。在SCLK的上升沿,获取ADC的采样数据。具体的实现,我是通过序列机的方式,首先先向ADC的寄存器2写入模式参数,然后开始循环从ADC获取采样数据。关于芯片配置的复位数据,我配置的都是1,也不影响芯片采样,由于项目上对这个也没有什么特别要求,就配了0。根据项目情况,配置AD采样模式。含 要 写 ⼊ 所 选 寄 存 器 的 数 据。

2024-09-29 15:25:53 567

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除