Verilog 基本电路3-全加器

module full_add(Cout, Sum, Ain, Bin, Cin);
	input Ain, Bin, Cin;
	output Sum, Cout;
	wire Sum;
	wire Cout;
	assign Sum = Ain ^ Bin ^ Cin;
	assign Cout = (Ain & Bin) | (Bin & Cin) | (Ain & Cin);
endmodule
module adder_carry_para#(parameter N=4)
(
  input [N-1:0] a,
  input [N-1:0] b,
  output [N-1:0] sum,
  output cout // carry output
);
// constant declaration
localparam N1 = N - 1;
           // signal declaration
wire [N:0] sum_ext;
// body
assign sum_ext = {1'b0, a} + {1'b0, b};
assign sum = sum_ext[N1:0];
assign cout = sum_ext[N];

endmodule
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值