自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(4)
  • 收藏
  • 关注

原创 Tiny_ GPU代码逐条详细分析1

output reg decoded_pc_mux, // Select //对应基础算数模块中的算法开 source of next PC //地址改变。if (core_state == 3'b010) begin //开始译码,core_state改变,调用decoder模块。.decoded_reg_write_enable(decoded_reg_write_enable), //对寄存器操作。

2024-08-09 10:14:41 461

原创 从零学习FPGA(spi串口模块)

always @(posedge clk or negedge rst_n)begin //敏感列表,表示在spi_clk的上升沿或rst_n的下降沿时触发该always块。//将发送数据寄存器tx_data_r设置为tx_data数组中对应的值。//位计数器bit_cnt加1。//将tx_data_r的值赋给spi_tx。

2024-08-09 10:02:26 280

原创 从零开始学习FPGA(流水灯实现)

/spi_clk反转形成。output reg [7:0]seg_led //译码出的二进制控制七段数码管。//spi_clk置零。.clk (clk), //右边是原模块的变量名,左边是调用其他模块的变量名。//设置位选,低电平有效。always @(*)case(decode_number) //判断数字对应的数码管。.tx_data (tx_data), //需要发送的一个字节。

2024-08-09 09:57:40 194

原创 从零开始学习FPGA(模块文件)

FPGA天川院项目实训,学习心得

2024-08-09 09:41:35 364

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除