截包改数据完成现网包的本地测试

需求人的需求有时只是一个临时想法,免费试驶但不一定真的购买。但研发人员却要为他们准备一大堆数据、文件来验证一个很不起眼的功能。就像我们,只是想把某张图片放到首页的轮播图上看看、或者换上一套皮肤看看效果,却不想通过配置后台去操作,只想让你做一套假数据看看。。。而已;

现网数据研发同学不能动,测试环境人家又不认,劳苦大众的我们,只能想些旁门左道了。

利用抓包软件篡改响应结果即可以实现想要的结果,对于http协议抓包软件都可以直接进行响应数据的修改。但是对于https协议,不同的软件支持的程度不一样。

我最常用的burp就折腾了我好几天~~~~~~

首先,burp不支持将https转成http

其次,burp不支持将请求映射到本地文件

所以,只能让自己本地的tomcat支持https,然后再把请求映射到本地服务上。

BURP

使用jdk工具keytool生成一个ssl测试用证书, 一路按照提示操作输入即可
  1. keytool -genkey -alias tomcat -keyalg RSA -keystore  F:\sso\wms.keystore 

2)tomcat配置修改

修改conf下server.xml配置,打开https端口配置

  1. <Connector port="8443" protocol="HTTP/1.1" SSLEnabled="true"  
  2.                maxThreads="150" scheme="https" secure="true"  
  3.                clientAuth="false" sslProtocol="TLS"  
  4.                keystoreFile="F:\sso\wms.keystore"  
  5.                keystorePass="123456" /> 

二、部署默认App

启动tomcat ,直接访问 https://localhost:8443/访问

三、拦截响应

打开burp,配置Proxy > Option > Proxy Listeners



四、手机设代理


  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Ethernet接口电路设计中,千兆以太网和百兆以太网的设计类似,只是千兆以太网多了两对差分信号,对应RJ45连接器的4578引脚。电压驱动型PHY芯片的电路中,差分信号需要分别接49.9欧姆的电阻,并接一个100nF电容到系统地。而电流驱动型PHY芯片的电路与百兆以太网相似,变压器的初级中心抽头接电压,差分信号需要分别接49.9欧姆的电阻,并接一个100nF电容到系统地。\[1\] 在以太网接口中,还有一种简化媒体独立接口(RMII),它使用两根线来传输数据,相比于MII接口的4根线,以及GMII接口的8根线,RMII接口的I/O传输更少。对于10Mbps线速,MII接口的时钟速率为2.5MHz,而RMII接口需要5MHz;对于100Mbps线速,MII接口的时钟速率为25MHz,而RMII接口需要50MHz。MII/RMII接口用于传输以太网,需要进行串并换、编解码等处理才能在双绞线和光纤上进行传输。以太网帧的格式遵循IEEE 802.3(10M)/IEEE 802.3u(100M)/IEEE 802.1q(VLAN)标准,括前导符、开始位、目的MAC地址、源MAC地址、类型/长度、数据、padding(可选)和32bit的CRC校验。如果存在VLAN,则在类型/长度后面加上2个字节的VLAN标签,其中12bit表示VLAN ID,另外4bit表示数据的优先级。\[2\] 在Ethernet接口电路中,网络变压器起到隔离网线与PHY芯片的作用,减小外界干扰,并在一定程度上增强信号。网络变压器的线圈比是1:1的变压器,线圈中心点是中心抽头,等效电路如上所示。此外,网络变压器的次级还括共模电感。\[3\] #### 引用[.reference_title] - *1* *3* [以太网接口电路设计](https://blog.csdn.net/weixin_42693097/article/details/128667009)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^koosearch_v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [Ethernet(以太网)之一 详解 MAC、MII、PHY](https://blog.csdn.net/u013283985/article/details/115032548)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^koosearch_v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值