vhdl 15位m序列发生

library a40mx;

use a40mx.all;

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

entity test is    port(qout:out std_logic;  clkin,clrin:in std_logic);

end test;

architecture structual of test is component dfcib    port(d:in std_logic; clk:in std_logic;  clr:in std_logic;  q:out std_logic);

end component;

component xnor2    port(a:in std_logic;          b:in std_logic;          y:out std_logic);

end component;

signal q1:std_logic;

signal q2:std_logic;

signal q3:std_logic;

signal q4:std_logic;

signal y1:std_logic;

begin qout<=q4;

u1:dfcib port map (y1,clkin,clrin,q1);

u2:dfcib port map (q1,clkin,clrin,q2);

u3:dfcib port map (q2,clkin,clrin,q3);

 u4:dfcib port map (q3,clkin,clrin,q4);

 u5:xnor2 port map (q3,q4,y1);

end structual;

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值