- 博客(0)
- 资源 (14)
- 收藏
- 关注
常用电容电阻设计参考
常用电阻值
国家标准规定了电阻的阻值按其精度分为两大系列,分别为E-24系列和E-96系列,E-24系列精度为5%,E-96系列为1%, 在这两种系列之外的电阻为非标电阻,较难采购。下面列出了常用的5%和1%精度电阻的标称值,供大家设计时参考。
碳质电阻和一些1/8瓦碳膜电阻的阻值和误差用色环表示。在电阻上有三道或者四道色
环。靠近电阻端的是第一道色环,其余顺次是二、三、四道色环,如图1所示。第一道色
环表示阻值的最大一位数字,第二道色环表示第二位数字,第三道色环表示阻值未应该
有几个零。第四道色环表示阻值的误差。色环颜色所代表的数字或者意义见表1。
贴片电阻,但封装尺寸与功率有关 通常来说.....
电容电阻外形尺寸与封装的对应关系是: 0402=1.0x0.5
2012-08-27
适用于MEGA16和MEGA32的硬件初始化程序,包含中断、I2C、SPI等
适用于MEGA16和MEGA32的硬件初始化程序,包含硬件中断、I2C、SPI等,可以作为编写程序时的参考
2012-06-18
uart接收_VHDL程序.rar
entity OPB_UARTLITE_RX is
port (
Clk : in std_logic;
Reset : in std_logic;
EN_16x_Baud : in std_logic;
Use_Parity : in std_logic;
Odd_Parity : in std_logic;
RX : in std_logic;
Read_RX_FIFO : in std_logic;
Reset_RX_FIFO : in std_logic;
FIFO_Triger : in std_logic_vector(6 downto 0);
Match_Trige : out std_logic;
RX_Data : out std_logic_vector(7 downto 0); --(0 to C_DATA_BITS-1)
RX_Data_Present : out std_logic;
RX_BUFFER_FULL : out std_logic;
RX_Frame_Error : out std_logic;
RX_Overrun_Error : out std_logic;
RX_Parity_Error : out std_logic
);
2011-07-14
I2C总线原理及应用实例.doc
1 I2C总线特点
I2C总线最主要的优点是其简单性和有效性。由于接口直接在组件之上,因此I2C总线占用的空间非常小,减少了电路板的空间和芯片管脚的数量,降低了互联成本。总线的长度可高达25英尺,并且能够以10Kbps的最大传输速率支持40个组件。I2C总线的另一个优点是,它支持多主控(multimastering), 其中任何能够进行发送和接收的设备都可以成为主总线。一个主控能够控制信号的传输和时钟频率。当然,在任何时间点上只能有一个主控。
2011-07-14
空空如也
TA创建的收藏夹 TA关注的收藏夹
TA关注的人