自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(79)
  • 资源 (6)
  • 收藏
  • 关注

原创 PCIE性能分析

记录几个pcie性能分析的帖子。https://blog.csdn.net/zhuzongpeng/article/details/78949249

2019-07-09 10:56:55 1189

翻译 vcs常用仿真选项

VCS的仿真选项分编译(compile-time)选项和运行(run-time)选项。1.1 VCS常用的编译选项 表 21 VCS常用的编译选项选项说明-assert dumpoff | enable_diag | filter_past定义SystemVerilog断言(SVA)dumpoff:禁止将SVA信息DUMP到VPD中enable_diag:使能SVA结果报告由运行选项进一步控制...

2018-04-03 14:51:14 36660

原创 python条件表达式的四种实现方法

a, b, c = 1, 2, 3 # 1.常规 if a>b:    c = aelse:    c = b # 2.表达式c = a if a>b else b # 先执行中间的if,如果返回True,就是左边,False是右边。 # 3.二维列表c = [b,a][a>b] #实际是[b,a][False],因为False被转换为0,所以是[1,2][0],也就是[1]  ...

2018-02-26 09:24:24 38431 1

转载 python中的subprocess.Popen()使用

https://www.cnblogs.com/zhoug2020/p/5079407.html

2018-02-11 10:34:30 708

原创 记录一个python的configobj读写ini配置文件的链接

http://www.voidspace.org.uk/python/configobj.html

2018-02-07 14:07:15 830

原创 关于NC-Verilog常用的仿真选项

一、通用的基本选项NC-Verilog中,有部分选项是ncvlog、ncelab和ncsim通用的选项,见表表 2‑1。2‑1 ncvlog、ncelab和ncsim通用的基本选项选项说明对应ncverilog选项-64bit调用64-bit版本的ncvlog+nc64bit-c

2017-08-04 15:02:43 49547 1

原创 小巧而功能强大的工具vncconfig

用于在vnc和windows平台中复制粘贴的强大工具, 随便一搜就能搜到使用方法,这里mark一下。

2017-05-09 10:09:42 2022

原创 DWC PCIE学习笔记(一)----->PCIE PHY接口

1、PIPE接口用于连接PCIE controller和PCIE PHY, controller用PIPE接口发送并行数给PHY用于并串转换等操作, PHY把串并转换得到的并行数通过PIPE接口送给controller。NOTE1:为了使能PIPE接口以便控制PHY:1)macP_pclkreq_n[1:0]要置为2'b00,参考时钟要稳定。2)要设置mpll_multuplier、r

2017-03-24 10:08:58 20365

原创 soc调试错误经验收集

1. 时钟相位错误导致数据收发错误。        现象a : soc环境内serdes block, 环境外对接serdes, 在serdes建链过程中发生重复的建链和掉链现象, serdes是外部厂商IP核, 内部代码不可见。 经mac解包发现接收到的数据有错误, 但单个serdes仿真没有错误, 此情况非常难定位数据错误发生在哪里。   解决a: 发现smac中用于发送的数据的时钟有

2017-03-15 13:56:07 948

原创 EEE(Energy Efficient Ethernet)-节能以太网

EEE技术是在IEEE802.3az中提出的,它解决PHY在没有数据传输时怎么节省功耗的问题。

2016-11-01 11:22:20 7572

转载 USB3.0规范中译本 第七章 链路层(1)

本文为CoryXie原创译文,转载及有任何问题请联系cory.xie#gmail.com。链路层具有维持链路连接性的责任,从而确保在两个链路伙伴之间的成功数据传输。基于包(packets)和链路命令(link commands)定义了健壮的链路流程控制。数据包在链路层被准备好,携带数据和不同的信息在主机和设备之间传输。链路命令的定义是为了链路伙伴两者之间的通信。包帧(Packet fr

2016-10-31 10:34:02 6316 1

转载 USB 3.0规范中译本 第6章 物理层

本文为CoryXie原创译文,转载及有任何问题请联系cory.xie#gmail.com。6.1 物理层概览物理层定义超高速总线的信号技术。本章定义超高速物理层的电气要求。本节定义超高速组件之间互操作所要求的电气层参数。强制性规范(Normative specifications)是必须要求的(required)。参考性规范(Informative specif

2016-10-31 10:27:19 11602 1

转载 USB 3.0规范中译本 第5章 机械结构

转自:http://www.cnblogs.com/coryxie/p/3956252.html本文为CoryXie原创译文,转载及有任何问题请联系cory.xie#gmail.com。本章定义USB 3.0连接器和线缆组件的form, fit 和 function。包括以下方面:• 连接器配对接口(Connector mating interfaces)

2016-10-31 10:09:18 1252

转载 USB 3.0规范中译本 第4章 超高速数据流模型

转自:http://www.cnblogs.com/coryxie/p/3956235.html本文为CoryXie原创译文,转载及有任何问题请联系cory.xie#gmail.com。本章展示数据和信息如何在超高速上通过的一种高层次的描述。请阅读协议层一章关于低层次协议的细节。本章提供设备架构概述信息,设备框架一章会对此进一步展开。所有实现者应该阅读本章了解超高速的关

2016-10-31 10:05:51 2994

转载 USB 3.0规范中译本 第3章 USB 3.0体系结构概览

转自:http://www.cnblogs.com/coryxie/p/3956220.html本文为CoryXie原创译文,转载及有任何问题请联系cory.xie#gmail.com。本章呈现USB 3.0体系结构和关键概念的概览。USB 3.0与前面版本的USB类似,因为它是线缆总线,支持在主机计算机和广大范围的可同时访问的外围设备之间进行数据交换。所附着的设备通过主机

2016-10-31 10:04:28 6649

转载 USB 3.0规范中译本 第2章 术语及缩略语

转自:http://www.cnblogs.com/coryxie/p/3956211.html本文为CoryXie原创译文,转载及有任何问题请联系cory.xie#gmail.com。本章列出并定义本规范通篇将使用的术语及缩略语。术语/略缩语定义ACK(确认包)表示积极肯定的握手包。

2016-10-31 09:54:56 2031

转载 USB3.0规范中译本 第一章 引言

转自:http://www.cnblogs.com/coryxie/p/3956175.html本文为CoryXie原创译文,转载及有任何问题请联系cory.xie#gmail.com。1.1 动机(Motivation)Universal Serial Bus (USB) 的原始动机来自于几方面的考虑,最重要的两个方面是:简单易用(Ease-of-use)

2016-10-31 09:48:40 2418

转载 Skip宏块与Direct预测模式浅析

来自:http://blog.chinaunix.net/uid-13423116-id-2894428.htmlB_Skip类型宏块(200503版标准,表7-14最后一行): 无像素残差,无运动矢量残差(MVD)。解码时,通过Direct预测模式(时间或空间)计算出前、后向MV后,直接利用前、后向MV得到像素预测值。像素重构值=像素预测值        B_Dire

2014-04-18 16:16:35 1414

转载 深入剖析H.264帧间预测(P宏块)

来自:http://blog.csdn.net/stpeace/article/details/8115392工具:foreman_qcif.yuv, JM8.6, H.264visa. 下面仅讨论P宏块的亮度Y分量,为简便起见,只讨论整数像素. 步骤1:用JM8.6编码foreman_qcif.yuv的3帧(IPP), 得到test.264,用H.264visa打开test.

2014-04-18 15:10:53 1110

转载 JM86 中 POC 的计算方法

来自:http://hi.baidu.com/snowxshy/item/8fcad08318b86adfd1f8cdcb一·参数说明 这一节阐述的是 encoder.cfg 中的参数对编码过程的影响 要注意的是 encoder.cfg 中的参数跟 input 结构体中的变量是一一对应的 StartFrame:从视频流的第几帧开始编码 FramesToBeE

2014-04-11 11:05:54 1061 1

转载 HEVC/H.265整个程序调用流程分析以及函数复杂度分析

http://blog.csdn.net/feixiang_john/article/details/7942925HEVC整个程序调用流程:Encode -> compressGOP -> compressCU->xCompressCU->copyFromPicYuv->xCheckRDCostIntra-> initEstData->xCheckRDCostInte

2013-12-11 19:57:45 2012

转载 MVC学习--JMVC入门

拖延了两个多月,终于可以开始MVC方面的学习了,开头总担心3月的时候收到热心朋友传送的MVC论文集会荒废掉,本周终于可以开工了。经过两个月的资料准备,已经基本确定了以JVT-AD007标准文档和JMVC的代码开始MVC的学习,经过本周的努力,标准文档和代码都快速浏览了一遍,也比较简略的看了几篇论文,现在先做个小结。由于一切刚刚开始,希望看到文章的朋友多多斧正,也希望更多的朋友能加入到3DTV和MV

2013-12-10 10:04:51 2014 1

转载 18-回顾:h264中的CABAC

【开始学习HEVC的算数编码之前,先修补一下知识漏洞,回去学一下H.264的CABAC为好。参考文献为: D. Marpe, H. Schwarz, and T. Wiegand, “Context-based adaptive binary arithmetic coding in the H.264/AVC video compression standard,” IEEETrans.

2013-12-09 10:46:54 3093

转载 17-HEVC的算数编码

【重头戏,视频编码中最难啃的硬骨头,或许没有之一。今天这个还是文献的阅读记录,后面几篇会深入挖掘它的参考文献和JCT-VC的相关提案,争取借这次机会彻底把视频编码中的算数编码搞懂。这次的参考文献是:High Throughput CABAC EntropyCoding in HEVC,IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TEC

2013-12-09 10:44:12 1578

转载 16-HEVC的条带头解析

一个条带由整数个coding tree units组成的编码视频流的结构,包含一个非依赖性条带元素和0到多个依赖性条带元素。若当前某个条带元素属于非依赖性条带元素,或者是按照解码顺序在当前的依赖性条带元素之前的第一个非依赖性条带元素的slice element header被称作当前条带的条带头。另一方面,条带元素头中的数据应用于该条带元素中的第一个或者所有的coding tree units

2013-12-09 10:41:28 772

转载 15-HEVC中的图像的分块分割方法

1、条带与区块在HEVC的视频编解码过程中,一帧图像会被分割成条带(slice),条带片段(slice segment)和区块(tiles)进行处理。条带和区块都是可采取的独立分割形式之一。而条带则是由条带片段组成的元素,包括独立条带元素和依赖性条带元素两类。上图即为一帧图像分割成条带的示意图。在该图中,该帧图像被分割成两个条带,其中第一个条带包含一个独立条带片段(包含4个树形

2013-12-09 10:38:13 986

转载 15-HEVC中的图像的分块分割方法

1、条带与区块在HEVC的视频编解码过程中,一帧图像会被分割成条带(slice),条带片段(slice segment)和区块(tiles)进行处理。条带和区块都是可采取的独立分割形式之一。而条带则是由条带片段组成的元素,包括独立条带元素和依赖性条带元素两类。上图即为一帧图像分割成条带的示意图。在该图中,该帧图像被分割成两个条带,其中第一个条带包含一个独立条带片段(包含4个树形

2013-12-09 10:36:34 927

转载 14-HEVC中的PPS解析

HEVC的图像参数集PPS包含每一帧可能不同的设置信息,其内容同H.264中的大致类似,主要包括:1、自引信息;2、初始图像控制信息,如初始QP等;3、分块信息。在解码开始的时候,所有的PPS全部是非活动状态,而且在解码的任意时刻,最多只能有一个PPS处于激活状态。当某部分码流引用了某个PPS的时候,这个PPS便被激活,称为活动PPS,一直到另一个PPS被激活。1、 pps_pic_p

2013-12-09 10:34:37 1170

转载 13-HEVC解码中的SPS解析

一段HEVC码流可能包含一个或者多个编码视频序列CVS,每个CVS由一个随机接入点开始,即IDR/BLA/CRA。在H.264和HEVC中,序列参数集SPS包含该CVS中所有slice需要的信息。SPS的内容大致可以分为几个部分:1、自引ID;2、解码相关信息,如档次级别、分辨率、子层数等;3、某档次中的功能开关标识及该功能的参数;4、对结构和变换系数编码灵活性的限制信息;5、时域可分级信息;6、

2013-12-09 10:32:01 2590

转载 11-NAL unit解码

在完成了参数配置文件的解析之后,主函数中调用cTAppDecTop.decode()开始正式的解码过程。这个函数中首先调用xCreateDecLib();xInitDecLib();建立和初始化解码器的对象。下面的byteStreamNALUnit (bytestream, nalUnit, stats);函数进行NAL的解码过程,进入该函数,发现实际进行操作的是_byteStreamNALUni

2013-12-09 10:27:09 1357

转载 10-HEVC的高层语法结构

一、概述参考文献“Overview of HEVC High-Level Syntax and Reference Picture Management”简述了HEVC的高层语法结构,并着重于提供新功能,提高抗误码鲁棒性的新语法元素。H.264等前代标准考虑的是连续的视频的视频码流,尽管有条带等概念,但是这些标准在条带上层(如帧一级)上未能考虑抗误码性。H.264可以流

2013-12-09 10:25:18 1310

转载 9-HEVC的档次、层与级别

1、档次、级别和层的概念档次、层和级别为多种不同应用提供了兼容性。一组可以生成符合标准的码流的编码工具或算法组成档次;级别根据解码过程负载和存储空间情况对关键参数加以限制(如最大采样频率、最大图像尺寸、最大比特率等等等);定义了主层和高层来区分最大码率和编码图像缓存不同的应用。满足某一层级的解码器应当可以解码当前及比当前更低的层级所有码流,满足某一档次的解码器应支持该档次中的所有特性。编码

2013-12-09 10:20:48 1545

转载 8-HEVC视频编码技术

成功运行HM10.0后,下面开始进入真正对HEVC标准采用的编码技术的讨论。参考文献选用IEEE TCSVT 201212期的Overview of HEVC。同H.264等前代的视频编码标准类似,HEVC依然采用了混合编码框架,利用帧间预测去除时域相关,利用帧内预测去除空间相关,利用变换、熵编码去除统计相关性。同前代技术相比,HEVC并未采用革命性的创新技术,而是针对现有技术进行小步快跑的改

2013-12-09 10:19:26 1326

转载 7-关于GOP与RAP的一些解释

在之前的博文中,我曾经简单把之前阅读文献资料和编译软件的记录和心得记录分享了一下。由于我也是刚刚接触HEVC没几天,有些问题我的理解也不是很深入,在之前的博文中有博友对高层语法中的一些概念提出了疑问。在咨询了了解背景知识的同学之后,经过仔细地重新推敲参考文献("Overview of HEVC")之后,对一些问题找到了一些答案,在此另发一篇博文作为回应。关于码流中的三种随机接入点的解释:B

2013-12-09 10:17:43 1213

转载 6-HEVC参考代码HM10.0的使用

在正式讨论HEVC的技术细节之前,有必要先建立好符合标准的实验平台,这对理解编解码的技术具有很大帮助。最方便,最有效,认可程度也最高的自然是JCT-VC工作组公布的参考代码HM系列了。这里,我们用最新版的参考代码HM10.0为例,详细阐述如何用参考软件成功编码一个视频码流。参考代码的下载请到我的微盘:http://vdisk.weibo.com/s/u9IAN下载。(1)下载完成后解压,文

2013-12-09 10:15:29 1580

转载 5-HEVC综述

1. 引言HEVC是ITU-T VCEG和ISO/IEC MPEG联合组成的JCT-VC的最新研究成果,第一版计划将于2013年1月公布。下一步的扩展工作将主要集中于对高精度及彩色视频的支持、可分级视频编码3D立体多视点视频的编码方面。HEVC公布后在这两个组织中的命名分别为ITU-T Recommendation H.265和MPEG-H Part 2 (ISO/IEC 23008-2)

2013-12-09 10:10:25 1257

转载 4-关于IEEE TCSVT的HEVC Special Section

【前言:视频处理领域的顶级学术期刊IEEE Transactions on Circuits and Systerms for Video Technology(TCSVT)在2012年年底做了一期HEVC Special Section,用了一整期的篇幅介绍新一代视频编解码标准HEVC。这一期Special Section所收录的学术论文包含了世界各国在视频编码领域最资深的专家的研究成果,对我们

2013-12-09 10:07:58 2343

转载 3-HEVC概览(3)

1、HEVC的档次2013年1月的HEVC草案定义了三种档次:Main,Main 10和main静态图像档次。另外还包含了多种附加档次。未来的扩展讨论主要集中在比特深扩展、4:2:2/4:4:4色度采样视频、多视点编码和可分级编码等方面。HEVC的第一个版本将于2013年1月面世,扩展标准约在2014年1月出炉。所谓一个档次,也就是一个编码工具的集合,可以用来将视频信号编码成一个符合该档次

2013-12-09 10:06:37 1147

转载 2-HEVC概览(2)

1、HEVC的特征 HEVC旨在允许运算复杂度提升的前提下,在相似视频质量情况下比H.264节省一半的比特率。依据不同应用场合的需求,HEVC编码器可以在压缩率、运算复杂度、抗误码性和编码延迟方面进行取舍和折中。相对于H.264,HEVC具有两大改进,即支持更高分辨率的视频以及改进的并行处理模式。HEVC的目标是应用与下一代高清电视和摄像系统,这些系统的特征有逐行扫描和从QVGA到4320P的

2013-12-09 10:04:35 876

转载 1-HEVC概览(1)

HEVC,即H.265,是现行的先进视频编解码标准H.264/MPEG-4 AVC的后继与发展。由ISO/IECMoving Picture Experts Group(MPEG)和ITU-TVideo Coding Experts Group(VCEG)两个组织联合成立的Joint Collaborative Team on Video Coding (JCT-VC)开发。该项目被称

2013-12-09 10:01:55 999

DDR4 JESD79-4 spec.pdf

其他资源都太贵了,我这来些便宜的,资源里还整理了其他的内容,需要可以去我的资源查找,不仅仅是DDR的。

2020-07-04

Candence PCIE IP核使用手册

Cadence PCIe Core User Guide

2018-12-15

uvm类库手册

类库手册,可以用来帮助使用uvm。

2018-12-15

SystemC-2.3.0.tgz

这个是整个systemc最近版本的内核,用户只需要在个人PC上编译下源码获得systemc.lib就可以使用了,本人的博客http://blog.csdn.net/bcs_01里边有详细介绍怎么做得过程

2013-08-06

linux&GDB调试器使用手册中文版

详细介绍了linux的GDB调试器的使用方法,是GNU下一款强大的调试工具,使我们的开发工作变的容易而有乐趣。

2013-05-31

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除