【CF#817 div4】【异或的高阶运用】【如何构造异或值为0的三个数】【异或值为0的序列的性质】【异或相同出0的 “相同同化”性质】

 

 

#include <bits/stdc++.h>
using namespace std;
const int N=2e5+10;
typedef long long LL;
LL res[N]; 
int main()
{
	int t;
	cin>>t;
	while(t--)
	{
		int n;
		cin>>n;
		int s=0;
		for(int i=0;i<n-2;i++)
		{
			res[i]=i;
			s^=i;
		}
		
		if(s==0)
		{
			res[0]=(1<<30)-1;
			s=(1<<30)-1;
		}
	
		res[n-2]=s|(1<<30);
		res[n-1]=1<<30;
	
	    for(int i=0;i<=n-1;i++)
	    {
	    	cout<<res[i]<<" ";
		}
		cout<<endl;
	}
	return 0;
} 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
高阶m序列是一种在字电路设计中常用的伪随机序列生成器。Verilog是一种硬件描述语言,可用于编写字电路模块的描述。高阶m序列的Verilog实现通常需要使用移位寄存器和反馈电路。 高阶m序列是通过线性反馈移位寄存器(LFSR)实现的伪随机序列。LFSR是由一组寄存器和一组反馈系组成的闭环结构。在每个时钟周期中,寄存器中的值被移位,并根据反馈系进行运算,以产生下一个序列元素。高阶m序列的特点是其周期长度为2^m - 1,其中m为寄存器的位。 在Verilog中,可以使用寄存器变量和位定义来实现高阶m序列。首先,需要定义一个m位的寄存器变量作为LFSR的寄存器,用于存储序列元素。然后,根据反馈系的规则,使用异或逻辑门对寄存器变量的位进行运算,并将结果赋给序列的下一个元素。同时,为了使序列循环生成,需要在每个时钟周期内将寄存器变量向右移位,将最低位的值作为反馈输入。完成寄存器移位后,新的元素会进入最高位。 实现高阶m序列的Verilog代码需要注意初始化条件和时钟信号的控制。在最初的时刻,需要将寄存器变量赋予一个合适的初始值,以确保序列的开始是伪随机的。然后,在每个时钟周期中,需要通过时钟信号控制LFSR的运算和移位。只有在时钟上升沿时,才进行序列元素的计算和寄存器位的移位操作。 通过使用Verilog实现高阶m序列,可以方便地在字电路中生成伪随机序列。这些序列在电路测试、编码和加密等应用中有很大的作用。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值