hot block



take a note:

When multiple processes are concurrently attempting to update the same block, the block is said to be hot. Hot blocks don’t always occur in indexes, but most of them do.
Reverse Key Indexes and Global Partitioned Indexes alleviate this problem.

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
create_power_domain PD_TOP create_power_domain PD1 -elements {Block1} -scope Block1 create_power_domain PD2 -elements {Block2} -scope Block2 create_power_domain PD3 -elements {Block3} -scope Block3 create_power_domain PD_TOP create_power_domain PD1 -elements {Block1} -scope Block1 create_power_domain PD2 -elements {Block2 Block3} create_power_domain PD_TOP set_scope Block1 create_power_domain PD1 set_scope ... create_power_domain PD2 -elements {Block2 Block3} create_power_domain domain_name [-elements list] [-supply {supply_set_handle supply_set_name}] [-include_scope] create_supply_port port_name create_supply_net net_name [-domain domain_name] [-reuse] [-resolve unresolved | parallel | one_hot | parallel_one_hot | user_defined_resolution_function] connect_supply_net supply_net_name -ports list create_supply_port VDD1P -domain Block1/PD1 create_supply_net VDD1 -domain Block1/PD1 connect_supply_net Block1/VDD1 -ports Block1/VDD1P connect_supply_net VDD1 -ports Block1/VDD1P set_domain_supply_net domain_name -primary_power_net supply_net_name -primary_ground_net supply_net_name set_domain_supply_net Block1/PD1 -primary_power_net Block1/VDD1 -primary_ground_net Block1/GND create_power_switch switch_name -domain domain_name -output_supply_port {port_name supply_net_name} {-input_supply_port {port_name supply_net_name}}* {-control_port {port_name net_name}}* {-on_state {state_name input_supply_port {boolean_function}}}* [-ack_port {port_name net_name [{boolean_function}]}]* [-ack_delay {port_name delay}]* [-off_state {state_name {boolean_function}}]* [-on_partial_state {state_name {boolean_function}}]* [-error_state {state_name {boolean_function}}]* map_power_switch switch_name -domain domain_name -lib_cells list create_supply_set supply_set_name associate_supply_set supply_set_name -handle supply_set_name set_equivalent -nets supply_nets | -sets supply_sets prompt> set_equivalent -nets {VDDa VDDb VDDc} # equivalent supply nets prompt> set_equivalent -sets {SS1a SS1b} # equivalent supply sets 什么意思
07-22
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值