自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(2)
  • 收藏
  • 关注

原创 哈理工数电实验3-时序电路实验

每当计数器接收到一个计数脉冲( clock pulse),它就会根据当前的计数值递增(或递减,取决于计数器的配 置)。当D1、D2输入值都为1时,若此时D1&D2值与D3输入值相同,则下一时刻D3输出0。当D1、D2输入值都为1时,若此时D1&D2值与D3输入值不同,则下一时刻D3输出1。对于D1、D2、D3输出值都为0的情况下仍然成立。PROBE 电位探测灯。

2024-08-07 18:47:58 226

原创 哈理工数电实验4-逻辑电路综合实验(十六路跑马灯)

注:这段电路因为需要作为计数器连入跑马灯,所以不用闭合;每个开放的电路连接线都接入了一个“HB/SC连接器”(在上方的 菜单栏->绘制->连接器 中可以找到)这个电路用到了一个三位计数器,也就是封装的层次块,计数器具体电路如下图。4.译码器:74LS138N 74LS191D 74LS138D。学校给的示例是需要封装层次块的,这里我把不用封装的方法也写上了。1.逻辑门:MiscDigital→TIL,选取与门、非门等。2.掌握用门电路实现组合、时序逻辑电路的设计过程。二、实验用设备仪器及材料。

2024-07-30 18:55:16 131

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除