将传进来的字符串保存为文件

        ''' <summary>
        ''' 将传进来的字符串保存为文件
        ''' </summary>
        ''' <param name="savePath">文件保存路径</param>
        ''' <param name="fullPath">文件全路径</param>
        ''' <param name="binary">字符串</param>
        ''' <remarks></remarks>
        Public Sub BinaryToFile(ByVal savePath As String, ByVal fullPath As String, ByVal binary As String)


            '判断文件保存路径是否存在
            If Not Directory.Exists(savePath) Then
                Directory.CreateDirectory(savePath)
            End If


            '判断文件是否存在
            If Not File.Exists(fullPath) Then
                Dim fs As FileStream = New FileStream(fullPath, FileMode.CreateNew)
                '利用新传来的路径实例化一个FileStream对像            
                Dim bw As BinaryWriter = New BinaryWriter(fs)


                '实例化一个用于写的BinaryWriter
                Dim byteStreamStr As String = binary.Replace("%2B", "+")


                Dim byteStream() As Byte = Convert.FromBase64String(byteStreamStr)
                fs.Write(byteStream, 0, byteStream.Length)
                bw.Close()
                fs.Close()
            End If
        End Sub
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
好的,以下是一个Python脚本,可以将拖拽进来的文本文件(必须是16进制格式)转换为对应的二进制文件: ``` import os import sys # 读取16进制文件内容 def read_hex_file(file_path): with open(file_path, 'rb') as f: hex_data = f.read() return hex_data # 将16进制字符串转换为对应的二进制字符串 def hex_to_bin(hex_str): return bin(int(hex_str, 16))[2:] # 将16进制文件转换为二进制文件 def hex_to_bin_file(input_file_path, output_file_path): # 读取16进制文件内容 hex_data = read_hex_file(input_file_path) # 将16进制字符串转换为二进制字符串 bin_data = ''.join([hex_to_bin(hex_str) for hex_str in hex_data]) # 将二进制字符串转换为bytes类型 bin_data_bytes = bytes(int(bin_data[i:i+8], 2) for i in range(0, len(bin_data), 8)) # 写入二进制文件 with open(output_file_path, 'wb') as f: f.write(bin_data_bytes) # 获取拖拽进来文件路径 if __name__ == '__main__': if len(sys.argv) == 1: print("请拖拽需要转换的16进制文件到该脚本上!") else: input_file_path = sys.argv[1] # 16进制文件路径 output_file_path = os.path.splitext(input_file_path)[0] + '.bin' # 输出的二进制文件路径 hex_to_bin_file(input_file_path, output_file_path) print('转换完成!') ``` 使用方法: 1. 将以上代码保存为一个.py文件,例如hex2bin_drag.py。 2. 将需要转换的16进制文件拖拽到该.py文件上。 3. 执行完毕后,即可在输入的16进制文件所在目录中找到对应的二进制文件。 希望这个脚本能够帮到你!
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值